Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Arvind Sundarrajan is active.

Publication


Featured researches published by Arvind Sundarrajan.


international interconnect technology conference | 2009

Optimized integrated copper gap-fill approaches for 2x flash devices

Paul F. Ma; Qian Luo; Arvind Sundarrajan; Jiang Lu; Joseph F. Aubuchon; Jennifer Tseng; Niranjan Kumar; Motoya Okazaki; Yuchun Wang; You Wang; Yufei Chen; Mehul Naik; Ismail T. Emesh; Murali Narasimhan

Physical vapor deposited (PVD) Cu seed layers have been successfully implemented for Cu gap-fill in feature sizes for the 2x nm flash devices. By tuning the incident angle of the incoming flux of Cu ions as well as utilizing the resputtering parameter, the overhang, sidewall coverage and asymmetry can be well controlled to enable complete fill by subsequent electrochemical deposition (ECD). Chemical vapor deposition (CVD) Cobalt (Co) films were also investigated as an enhancement layer for Cu gap-fill. It was observed that the insertion of a 1.5nm-thick CVD Co layer, deposited between a PVD Ta barrier and a Cu seed layer could effectively enhance gap-fill in the small geometry trench/via structures. The CVD Co enhancement layer could also significantly improve the electromigration (EM) resistance of the Cu interconnects. The Chemical Mechanical Polish (CMP) process was also developed to provide an integrated solution.


international interconnect technology conference | 2009

Metallization of sub-30 nm interconnects: Comparison of different liner/seed combinations

L. Carbonell; Henny Volders; Nancy Heylen; Kristof Kellens; Rudy Caluwaerts; K. Devriendt; Efrain Altamirano Sanchez; Johan Wouters; Virginie Gravey; Kavita Shah; Qian Luo; Arvind Sundarrajan; Jiang Lu; Joseph F. Aubuchon; Paul F. Ma; Murali Narasimhan; Andrew Cockburn; Zsolt Tokei; Gerald Beyer

Narrow trenches with Critical Dimensions down to 17 nm were patterned in oxide using a sacrificial FIN approach and used to evaluate the scalability of TaN/Ta, RuTa, TaN + Co and MnOx metallization schemes. So far, the RuTa metallization scheme has proved to be the most promising candidate to achieve a successful metallization of 25 nm interconnects, providing high electrical yields and a good compatibility with the slurries used during CMP.


IEEE Transactions on Components, Packaging and Manufacturing Technology | 2016

CPI Parametric Investigation of UBM-Al Interface for Cu Pillar Flip-Chip Application

Prayudi Lianto; Hong Yu Li; R. Balamurugan; Junqi Wei; Norhanani Binte Jaafar; Leong Ching Wai; Arvind Sundarrajan

Under bump metallization (UBM)-Al delamination is a high-value chip-package interaction reliability problem in flip-chip Cu pillar packaging. We devised a test vehicle for quick reliability assessment. We demonstrate that preclean is a critical step to ensure reliable UBM-Al adhesion and achieve bump shear strength >12 g/mil2 for 15-μm polymer opening. Key parameters affecting bump shear strength, such as UBM critical dimension (CD), polyimide (PI) CD, SiN CD, and PI thickness, were reviewed from both simulation and experimental standpoints and good agreement was achieved between the two. Based on the observed failure mode, a 1-D model was also proposed to correctly predict the experimental trend. Optimum design rules for Cu pillar were outlined. Chip-substrate assembly was demonstrated on 80-μm bump pitch with staggered bump configuration using mass reflow. Progressive thermal cycling was performed to evaluate package performance. Failure mode was found to be solder crack, verifying the improvement in UBM-Al adhesion.


international interconnect technology conference | 2014

Electrical parametric and reliability of 5×50um TSVs for 3D IC

Bharat Bhushan; Chin Hock Toh; Anthony Chan; Isaac Ow; Loke Yuen Wong; Arkajit Roy Barman; Shalina Sudheeran; Chandra Rao; Wahab Mohammed Abdul; Jason Chew; Jay Vijayen; Uday Mahajan; David Ericson; Niranjan Kumar; Sesh Ramaswami; Arvind Sundarrajan

We present electrical parametric and reliability of 5×50um through silicon vias (TSVs) for three dimensional integrated circuits (3D IC). Electrical parameters such as oxide breakdown voltage (V<sub>bd</sub><sup>TSV</sup>), leakage current (I<sub>leak</sub><sup>TSV</sup>), oxide capacitance (C<sub>ox</sub><sup>TSV</sup>), dielectric constant (k), minimum capacitance (C<sub>min</sub><sup>TSV</sup>), threshold voltage (V<sub>th</sub>) and mobile oxide charges (Q<sub>m</sub>) of blind TSVs are analyzed. And, the reliability of TSVs is analyzed with thermal cycling between -55°C to 125°C with a dwell time of 10-15 minutes by following JEDEC standard No. 22-A104D.


international interconnect technology conference | 2013

Fabrication and electrical characterization of 5×50um through silicon vias for 3D integration

Bharat Bhushan; Minrui Yu; John O. Dukovic; Loke Yuen Wong; Aksel Kitowski; Mun Kvu Park; John Hua; Shwetha Bolagond; Anthony Chan; Chin Hock Toh; Arvind Sundarrajan; Niranjan Kumar; Sesh Ramaswami

We present fabrication, electrical characterization, and metrology analysis results of 5×50um TSVs for 3D integration. Specifically, electrical performance of blind TSVs is evaluated by capacitance-voltage (CV) and current-voltage (IV) measurements. Important electrical parameters such as oxide capacitance, minimum TSV capacitance, leakage current, and breakdown voltage are extracted and show good results. The capacitance values also closely match model predictions. The electrical testing data are further verified with a variety of materials analysis techniques.


ASME 2005 Summer Heat Transfer Conference collocated with the ASME 2005 Pacific Rim Technical Conference and Exhibition on Integration and Packaging of MEMS, NEMS, and Electronic Systems | 2005

Modeling and Simulation of Physical Vapor Deposition/Etching Plasmas

Umesh Kelkar; Arvind Sundarrajan; Tza-Jing Gung; Ned Hammond; Ajay Bhatnagar; Xinyu Fu; Mark A. Perrin; John C. Forster; Prabu Gopalraja; Jianming Fu

A new physical vapor deposition source is developed to meet the challenges of barrier deposition for sub 100nm devices. The source employs multi-step process to deposit thin, conformal and uniform barrier films. This paper describes reactor scale modeling and simulation of deposition and etching plasmas used for barrier deposition. The modeling and simulation in tandem with experimental data demonstrate that the chamber can be used to independently control the particle fluxes as per the requirements of the deposition and etching steps. The simulation results were qualitatively used to optimize the ion flux uniformity by altering the magnetic fields near the wafer.© 2005 ASME


Archive | 2012

METHODS OF END POINT DETECTION FOR SUBSTRATE FABRICATION PROCESSES

Bo Zheng; Mei Chang; Arvind Sundarrajan


Archive | 2004

Oblique ion milling of via metallization

Praburam Gopalraja; Xianmin Tang; Jianming Fu; Mark A. Perrin; Jean Yue Phillip Wang; Arvind Sundarrajan; Hong Zhang; Jick M. Yu; Umesh Kelkar; Zheng Xu; Fusen Chen


Archive | 1999

Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance

Darryl Angelo; Arvind Sundarrajan; Peijun Ding; James H. Tsung; Ilyoung Richard Hong; Barry Chin


Archive | 2004

Compensation of spacing between magnetron and sputter target

Peijun Ding; Daniel C. Lubben; Ilyoung Richard Hong; Michael Miller; Hsien-Lung Yang; Suraj Rengarajan; Arvind Sundarrajan; Goichi Yoshidome

Collaboration


Dive into the Arvind Sundarrajan's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge