Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Benjamin L. Clark is active.

Publication


Featured researches published by Benjamin L. Clark.


Journal of Luminescence | 2002

Zn2GeO4:Mn alternating-current thin-film electroluminescent devices

Jeffrey P. Bender; John F. Wager; J. L. Kissick; Benjamin L. Clark; Douglas A. Keszler

Abstract Electrical, electro-optic, temperature, and aging characteristics of green-emitting Zn2GeO4:Mn alternating-current thin-film electroluminescent (ACTFEL) devices are presented. The Zn2GeO4:Mn phosphor layers are prepared by RF sputtering. A maximum luminous efficiency of 0.45 lm/W and luminance of 105 cd/m 2 at 60 Hz and 40 V above threshold are obtained. Field-ionization of impact-excited Mn2+ luminescent impurities is found to give rise to positive space charge within the Zn2GeO4 phosphor, leading to unusual device behaviors such as conduction current delay, anomalous positive polarity transient luminance annihilation, transferred charge being comprised of mainly relaxation charge, and a decrease in the 60 Hz threshold voltage with increasing temperature. Low temperature aging experiments suggest that aging is at least partially due to hot electron-induced degradation. Although most Zn2GeO4:Mn ACTFEL devices exhibit a moderate amount of aging, certain devices are found to exhibit no measurable aging at 1 kHz when aged for 24 h .


Proceedings of SPIE | 2011

Directly patterned inorganic hardmask for EUV lithography

Jason K. Stowers; Alan J. Telecky; Michael Kocsis; Benjamin L. Clark; Douglas A. Keszler; Andrew Grenville; Christopher N. Anderson; Patrick P. Naulleau

This paper describes a metal oxide patternable hardmask designed for EUV lithography. The material has imaged 15-nm half-pitch by projection EUV exposure on the SEMATECH Berkeley MET, and 12-nm half-pitch by electron beam exposure. The platform is highly absorbing (16 μm-1) and etch resistant (>100:1 for silicon). These properties enable resist film thickness to be reduced to 20nm, thereby reducing aspect ratio and susceptibility to pattern collapse. New materials and processes show a path to improved photospeed. This paper also presents data for on coating uniformity, metal-impurity content, outgassing, pattern transfer, and resist strip.


Proceedings of SPIE | 2015

Integrated fab process for metal oxide EUV photoresist

Andrew Grenville; Jeremy T. Anderson; Benjamin L. Clark; Peter De Schepper; Joseph Edson; Michael Greer; Kai Jiang; Michael Kocsis; Stephen T. Meyers; Jason K. Stowers; Alan J. Telecky; Danilo De Simone; Geert Vandenberghe

Inpria is developing directly patternable, metal oxide hardmasks as robust, high-resolution photoresists for EUV lithography. Targeted formulations have achieved 13nm half-pitch at 35 mJ/cm2 on an ASML’s NXE:3300B scanner. Inpria’s second-generation materials have an absorbance of 20/μm, thereby enabling an equivalent photon shot noise compared to conventional resists at a dose lower by a factor of 4X. These photoresists have ~40:1 etch selectivity into a typical carbon underlayer, so ultrathin 20nm films are possible, mitigating pattern collapse. In addition to lithographic performance, we review progress in parallel advances required to enable the transition from lab to fab for such a metal oxide photoresist. This includes considerations and data related to: solvent compatibility, metals cross-contamination, coat uniformity, stability, outgassing, and rework.


Journal of Applied Physics | 2001

Spectroscopic and laser properties of Nd3+ in LaSc3(BO3)4 host

Dhiraj K. Sardar; Francisco Castano; Joey A. French; John B. Gruber; Thomas A. Reynolds; Theodore Alekel; Douglas A. Keszler; Benjamin L. Clark

Spectroscopic and laser properties have been characterized for Nd3+ in LaSc3(BO3)4. The Judd–Ofelt analysis has been applied to the measured room temperature absorption spectrum to determine the radiative decay rates and branching ratios of Nd3+ transitions from the 4F3/2 metastable state to the 4IJ lower-lying manifolds. The parameters Ω2, Ω4, and Ω6 are larger than those reported for Nd3+ in other laser host crystals. The value of Ω4/Ω6 is approximately 3.0 times larger than that of Nd3+ in yttrium–aluminum–garnet (YAG) and about 1.4 times larger than that of Nd3+ in the β phase of LaSc3(BO3) reported recently. The measured room temperature fluorescence lifetime of the 4F3/2→4I11/2 transition is 150 μs, while the Judd–Ofelt analysis predicts a radiative lifetime for the 4F3/2 state to be 249 μs, resulting in the fluorescence quantum efficiency of 60%. The emission cross sections of the 4F3/2→4I11/2 and 4F3/2→4I13/2 intermanifold transitions have been also determined at room temperature. Finally, these r...


Proceedings of SPIE | 2016

Metal oxide EUV photoresist performance for N7 relevant patterns and processes

Jason K. Stowers; Jeremy T. Anderson; Brian Cardineau; Benjamin L. Clark; Peter De Schepper; Joseph Edson; Michael Greer; Kai Jiang; Michael Kocsis; Stephen T. Meyers; Alan J. Telecky; Andrew Grenville; Danilo De Simone; Werner Gillijns; Geert Vandenberghe

Inpria continues to leverage novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance. Our resists have previously demonstrated 13nm line/space patterns at 35 mJ/cm2, with extendibility to 10nm half-pitch.1 We have continued to improve photospeed and in this work we provide an update on imaging performance. Since practical patterns for EUV layers will be more complicated than line/space patterns, we also expand on our previous work by demonstrating 2D resist performance using N7 (7nm node) contact and block mask patterns on full field scanners. A resist model has been created and using this model comparisons are made between a metal oxide resist and CAR platforms. Based on this physical model, the impact of shot noise is examined in relation to realistic 2D features. Preliminary data on the effect on OPC of using a non-chemically amplified resist are also presented.


Proceedings of SPIE | 2016

Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

Danilo De Simone; Ming Mao; Michael Kocsis; Peter De Schepper; Frederic Lazzarino; Geert Vandenberghe; Jason K. Stowers; Stephen T. Meyers; Benjamin L. Clark; Andrew Grenville; Vinh Luong; Fumiko Yamashita; Doni Parnell

Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.


Proceedings of SPIE | 2012

Coat-develop track process for inorganic EUV resist

Masahiko Harumoto; Tadashi Miyagi; Koji Kaneyama; Akihiko Morita; Charles Pieczulewski; Masaya Asai; Benjamin L. Clark

A baseline coat-develop track process has been established for inorganic EUV resists. Inorganic EUV resists have already been highlighted for their higher resolution and lower Line-Width-Roughness (LWR) for lithography features as well as strong etch resistance [1], [2], [3], [4]. This inorganic resist system is not only interesting due to lithography process capability but also do to its influences on coat-develop track processing. It is understood that this inorganic resist system is dissolved in an aqueous solution and therefore has the different characteristics compared to typical polymer photoresist in organic solvent. Spin coating this aqueous resist solution leads to several challenges beyond the traditional aqueous Top Anti-Reflective Coat (TARC) materials used decades ago. Resist spin coating systems have continuously improved over the years based on polymer photoresists, therefore it becomes necessary to confirm if the latest coat module design and processes are equally applicable to aqueous resists targeted for EUV lithography. Another characteristic of this inorganic system it is not a chemical amplified resist. Post-Applied Bake (PAB), Post-Exposure Bake (PEB) and develop processes are compared with current polymer photoresist process. In this study, a coat-develop track process baseline is established for metrics such as film thickness uniformity, critical dimension (CD) uniformity and process defectivity. Based on this baseline data areas for improvement in coat-develop track process are identified to enable inorganic resist transition to volume production with EUV or E-Beam lithography.


Proceedings of SPIE | 2015

Coater/developer process integration of metal-oxide based photoresist

Benjamin L. Clark; Michael Kocsis; Michael Greer; Andrew Grenville; Takashi Saito; Lior Huli; Richard Farrell; David Hetzer; Shan Hu; Hiroie Matsumoto; Andrew Metz; Shinchiro Kawakami; Koichi Matsunaga; Masashi Enomoto; Jeffrey M. Lauerhaas; David DeKraker

Inpria is pioneering a novel approach to EUV photoresist. Directly patternable metal oxide thin films have shown resolution better than 10nm half-pitch, with robust etch resistance, and efficient use of photons through high EUV absorbance. Inpria’s Gen2 photoresists are cast from commonly used organic coating solvents and are developed in typical negative tone develop (NTD) organic solvents. This renders them compatible with CLEAN TRACK LITHIUS Pro-EUV coater/developer system (Tokyo Electron Limited; TEL) and solvent drains. The presence of metal in the photoresist demands additional scrutiny and process development to minimize contamination risks to other tools and wafers. In this paper, we review progress in developing coat processes that reduce metal contamination levels below typical industry levels. We demonstrate minimization of trace metals contamination from wafer-to-coater/developer, and wafer-to-wafer from the spin coat process. This will also include results from surface analyses of frontside edge exclusion and backside of wafer using best-known analytical methods. In addition, we discuss results of coat uniformity and defectivity optimization. Wet clean compatibility and dry etch rate by using conventional Si-ARC/OPL etching recipe will also be presented. In conjunction with this work, we identify potential contamination pathways and means for managing contamination risk. We furthermore review equipment compatibility issues for using Inpria’s metal oxide photoresists.


Science | 2002

Low-Temperature Thin-Film Deposition and Crystallization

Sangmoon Park; Benjamin L. Clark; Douglas A. Keszler; Jeffrey P. Bender; John F. Wager; Thomas A. Reynolds; Gregory S. Herman


Chemistry of Materials | 2000

Color control in sulfide phosphors : Turning up the light for electroluminescent displays

Dong Li; Benjamin L. Clark; Douglas A. Keszler; P. D. Keir; John F. Wager

Collaboration


Dive into the Benjamin L. Clark's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge