Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Stephen T. Meyers is active.

Publication


Featured researches published by Stephen T. Meyers.


Journal of the American Chemical Society | 2008

Aqueous Inorganic Inks for Low-Temperature Fabrication of ZnO TFTs

Stephen T. Meyers; Jeremy T. Anderson; Celia M. Hung; John F. Thompson; John F. Wager; Douglas A. Keszler

A simple, low-cost, and nontoxic aqueous ink chemistry is described for digital printing of ZnO films. Selective design through controlled precipitation, purification, and dissolution affords an aqueous Zn(OH)(x)(NH(3))(y)((2-x)+) solution that is stable in storage, yet promptly decomposes at temperatures below 150 degrees C to form wurtzite ZnO. Dense, high-quality, polycrystalline ZnO films are deposited by ink-jet printing and spin-coating, and film structure is elucidated via X-ray diffraction and electron microscopy. Semiconductor film functionality and quality are examined through integration in bottom-gate thin-film transistors. Enhancement-mode TFTs with ink-jet printed ZnO channels annealed at 300 degrees C are found to exhibit strong field effect and excellent current saturation in tandem with incremental mobilities from 4-6 cm(2) V(-1) s(-1). Spin-coated ZnO semiconductors processed at 150 degrees C are integrated with solution-deposited aluminum oxide phosphate dielectrics in functional transistors, demonstrating both high performance, i.e., mobilities up to 1.8 cm(2) V(-1) s(-1), and the potential for low-temperature solution processing of all-oxide electronics.


Applied Physics Letters | 2011

Competitive device performance of low-temperature and all-solution-processed metal-oxide thin-film transistors

Kyung Min Kim; Chi Wan Kim; Jaeseok Heo; HyungIl Na; Jung Eun Lee; Chang Bum Park; Jong-Uk Bae; Chang-Dong Kim; Myungchul Jun; Yong Kee Hwang; Stephen T. Meyers; Andrew Grenville; Douglas A. Keszler

In this Letter, we described a solution-processed indium-gallium-zinc oxide thin-film transistors (TFTs) with a solution-processed aluminum oxide phosphate gate dielectric, fabricated at a maximum annealing temperature under 350 °C to be applicable to conventional fabrication process of flat-panel displays (FPDs). The solution-processed TFTs exhibited competitive device characteristics under 350 °C, including a field-effect mobility of 4.50 cm2/Vs, an on-to-off current ratio of ∼109, a threshold voltage of 2.34 V, and a subthreshold gate swing of 0.46 V/dec, making them applicable to the future backplane of FPDs.


Angewandte Chemie | 2008

Synthesis of Heterometallic Group 13 Nanoclusters and Inks for Oxide Thin‐Film Transistors

Zachary L. Mensinger; Jason T. Gatlin; Stephen T. Meyers; Lev N. Zakharov; Douglas A. Keszler; Darren W. Johnson

We have recently reported high-yielding syntheses of two inorganic Group 13 metal-hydroxide nanoclusters: [Ga13(m3OH)6(m-OH)18(H2O)24(NO3)15] (“flat” Ga13, 1, Figure 1) and [Al13(m3-OH)6(m-OH)18(H2O)24(NO3)15] (“flat” Al13). [1, 2] The {M(m3-OH)6M6(m-OH)6} central fragment of these clusters forms a planar core with six additional M(H2O)4 groups bound to the core by two m-OH bridges. The outer metal ions alternate above and below the plane formed by the central seven metal ions. Prior synthetic preparation of Group 13 metal-hydroxide compounds such as these has proven difficult. Their syntheses often require caustic or acidic conditions and elevated temperatures and pressures to provide clusters, often in low yields. Crystallization periods of months or even years are typical. Owing to these difficulties, relatively few discrete Group 13 metal-hydroxide clusters have been synthesized, though several striking examples of aluminum 4, 6,7] and gallium 5, 8, 9] complexes have been reported. Both hydrated clusters and those stabilized by organic ligands are known, with a larger variety of ligand-supported clusters having been isolated, owing to enhanced stability resulting from lower charge density. In the case of these inorganic and ligand-supported compounds, neither heterometallic nor indium-containing clusters are known. However, in the case of Keggin-Al13 clusters, the central tetrahedral metal can be substituted, forming M1Al12 structures (M = Al, Ga, or Ge, with others suggested). To our knowledge, no heterometallic Group 13 metal-hydroxide clusters with multiple substitution have been reported. Furthermore, the lowyielding, challenging syntheses often associated with these clusters have prevented attempts at exploring applications requiring large quantities of such compounds. In order to address synthetic difficulties and to explore the use of these clusters as precursors for materials, we have developed an improved synthesis of heterometallic Group 13 nanoclusters. There has been recent interest in the use of nanoscale cluster precursors to synthesize new materials. The difficult syntheses of Group 13 metal-hydroxide clusters have mostly prevented their use in these applications. Most solution precursors for printed oxide films involve controlled hydrolysis of metal–organic compounds and the condensation of metal-hydroxo sols that are then pyrolyzed to form the oxide. Such films are beset by a variety of density, defect, and segregation issues relating to the inhomogeneous nature of the sol, retention of significant organic components, or oxygen nonstoichiometry associated with organic burnout. From this perspective, soluble all-inorganic, heterometallic hydroxo clusters, such as the “flat” M13 system, provide model precursors and an entirely inorganic, rapid, low-volume-loss condensation pathway, eliminating the aforementioned detrimental effects of organic moieties. Herein, we present a new heterometallic gallium–indium cluster, [Ga7In6(m3-OH)6(m-OH)18(H2O)24(NO3)15] (“flat” Ga7In6, 2, Figure 1). This compound can be synthesized reliably, in yields ranging from 25% to 95 %, by utilizing two different nitroso additives. 2] Clusters 1 and 2 can both be prepared in gram-scale quantities, which enables the unprecedented use of these nanoclusters as single-source solution precursors for the deposition of oxide-semiconductor thin Figure 1. Representations of the molecular structures of [Ga13(m3-OH)6(m-OH)18(H2O)24(NO3)15] (1, left) and [Ga7In6(m3-OH)6(m-OH)18(H2O)24(NO3)15] (2, right), determined by single-crystal X-ray diffraction. Ga and In are shown as dark and light spheres, respectively; hydroxo and aquo ligands are shown as stick representations.


Proceedings of SPIE | 2015

Integrated fab process for metal oxide EUV photoresist

Andrew Grenville; Jeremy T. Anderson; Benjamin L. Clark; Peter De Schepper; Joseph Edson; Michael Greer; Kai Jiang; Michael Kocsis; Stephen T. Meyers; Jason K. Stowers; Alan J. Telecky; Danilo De Simone; Geert Vandenberghe

Inpria is developing directly patternable, metal oxide hardmasks as robust, high-resolution photoresists for EUV lithography. Targeted formulations have achieved 13nm half-pitch at 35 mJ/cm2 on an ASML’s NXE:3300B scanner. Inpria’s second-generation materials have an absorbance of 20/μm, thereby enabling an equivalent photon shot noise compared to conventional resists at a dose lower by a factor of 4X. These photoresists have ~40:1 etch selectivity into a typical carbon underlayer, so ultrathin 20nm films are possible, mitigating pattern collapse. In addition to lithographic performance, we review progress in parallel advances required to enable the transition from lab to fab for such a metal oxide photoresist. This includes considerations and data related to: solvent compatibility, metals cross-contamination, coat uniformity, stability, outgassing, and rework.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2010

All-inorganic thermal nanoimprint process

Dirk N. Weiss; Stephen T. Meyers; Douglas A. Keszler

The authors describe a nanoimprint method for an all-inorganic resist material, aluminum oxide phosphate. The resist is free of organic additives, water-based, environmentally benign and yields dense, amorphous, crack-, and pore-free films after annealing at 300 °C. They achieved macroscopically defect-free imprinted areas of up to 25 cm2, using flexible ethylene tetrafluoroethylene imprint molds. It is shown that, if temperature and pressure are chosen such that the residual solvent in the resist stays liquid during imprinting, macroscopically defect-free imprints can be obtained. Volumetric shrinkage due to postimprint annealing is characterized. The imprinting tests are performed on standard thermal nanoimprint equipment, but the process is believed to be scalable for large-area imprinting.


Journal of Vacuum Science & Technology B | 2010

Nanoimprinting for diffractive light trapping in solar cells

Dirk N. Weiss; Hao-Chih Yuan; Benjamin G. Lee; Howard M. Branz; Stephen T. Meyers; Andrew Grenville; Douglas A. Keszler

The authors investigate the light-trapping efficiency of nanoimprinted ceramic grating reflectors for crystal silicon photovoltaic cells. Using 25 μm silicon wafers as a model system and hemispherical reflection measurements, they demonstrate a 4%–6% increase in AM 1.5 solar-photon absorption for one-dimensional square and sinusoidal gratings compared to flat reflectors. The extrapolated increase in a short-circuit current for a 2 μm thick silicon film cell due to diffractive light trapping is 20%.The authors investigate the light-trapping efficiency of nanoimprinted ceramic grating reflectors for crystal silicon photovoltaic cells. Using 25 μm silicon wafers as a model system and hemispherical reflection measurements, they demonstrate a 4%–6% increase in AM 1.5 solar-photon absorption for one-dimensional square and sinusoidal gratings compared to flat reflectors. The extrapolated increase in a short-circuit current for a 2 μm thick silicon film cell due to diffractive light trapping is 20%.


Proceedings of SPIE | 2016

Metal oxide EUV photoresist performance for N7 relevant patterns and processes

Jason K. Stowers; Jeremy T. Anderson; Brian Cardineau; Benjamin L. Clark; Peter De Schepper; Joseph Edson; Michael Greer; Kai Jiang; Michael Kocsis; Stephen T. Meyers; Alan J. Telecky; Andrew Grenville; Danilo De Simone; Werner Gillijns; Geert Vandenberghe

Inpria continues to leverage novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance. Our resists have previously demonstrated 13nm line/space patterns at 35 mJ/cm2, with extendibility to 10nm half-pitch.1 We have continued to improve photospeed and in this work we provide an update on imaging performance. Since practical patterns for EUV layers will be more complicated than line/space patterns, we also expand on our previous work by demonstrating 2D resist performance using N7 (7nm node) contact and block mask patterns on full field scanners. A resist model has been created and using this model comparisons are made between a metal oxide resist and CAR platforms. Based on this physical model, the impact of shot noise is examined in relation to realistic 2D features. Preliminary data on the effect on OPC of using a non-chemically amplified resist are also presented.


SID Symposium Digest of Technical Papers | 2010

17.4L: LateNews Paper: Contact Resistance and Process Integration Effects on HighPerformance Oxide TFTs with SolutionDeposited Semiconductor and Gate Dielectric Layers

Jaeseok Heo; Junghan Kim; Seungchan Choi; Kwon-Shik Park; Chang-Dong Kim; Yong Kee Hwang; In-Jae Chung; Stephen T. Meyers; Jeremy T. Anderson; Benjamin C. Clark; Michael Greer; Kai Jiang; Andrew Grenville; Douglas A. Keszler

Highperformance TFTs with solutiondeposited amorphous oxide semiconductor and gate dielectric layers are fabricated at ≤ 350 °C. The initial performance and stability of these TFTs are investigated with respect to device structure and source/drain materials. Topcontact TFTs exhibit better electrical performance and reliability than bottomcontact devices. Representative topcontact device mobility is 1.90 cm2/Vs with an ontooff drain current ratio of 7.0 × 108.


Proceedings of SPIE | 2016

Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

Danilo De Simone; Ming Mao; Michael Kocsis; Peter De Schepper; Frederic Lazzarino; Geert Vandenberghe; Jason K. Stowers; Stephen T. Meyers; Benjamin L. Clark; Andrew Grenville; Vinh Luong; Fumiko Yamashita; Doni Parnell

Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Population statistics of EUV printed MOx resist features (Conference Presentation)

Jason K. Stowers; Peter De Schepper; Michael Greer; Craig Needham; Stephen T. Meyers; Michael Kocsis; Andrew Grenville

The viability of EUV lithography depends upon the accurate placement of hundreds of billions of features per field with critical dimensions less than 30 nm using a minimal photon count. In this photon-limited regime, resist absorbance, radiochemical blur, and nanoscale homogeneity have important impacts on stochastic variability and device yield not captured by standard resist characterization in terms of resolution, line-edge roughness and sensitivity (RLS). Multiple studies have shown that low-probability printing failures critical to device yield are not accurately modeled by 7σ extrapolations from the small populations of features commonly analyzed to extract RLS parameters. Inpria continues to advance the development of high-resolution photo-patternable metal oxide (MOx) hardmasks specifically designed to address these beyond-RLS requirements, and herein we examine the population statistics of large numbers of MOx resist pillars printed on a NXE 3300B scanner. Published experimental lithography data on large numbers of EUV-printed features is limited, even for conventional chemically amplified resists (CARs). Accurate metrology on many millions of features is a resource intensive proposition which partially explains the relative scarcity of these critical data. To address this deficiency, a metrology protocol for analysis of >10 million contact holes or pillars has been developed and applied to features printed using Inpria MOx resists. The stochastic variability of multiple large pillar populations is analyzed as a function of exposure conditions, resist, and process chemistry. By comparing these experimentally observed populations with contact hole populations derived from conventional chemically amplified resists (CARs) the stochastic limits of the respective resist chemistries are probed.

Collaboration


Dive into the Stephen T. Meyers's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Kai Jiang

Oregon State University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Peter De Schepper

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge