Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jason K. Stowers is active.

Publication


Featured researches published by Jason K. Stowers.


Proceedings of SPIE | 2011

Directly patterned inorganic hardmask for EUV lithography

Jason K. Stowers; Alan J. Telecky; Michael Kocsis; Benjamin L. Clark; Douglas A. Keszler; Andrew Grenville; Christopher N. Anderson; Patrick P. Naulleau

This paper describes a metal oxide patternable hardmask designed for EUV lithography. The material has imaged 15-nm half-pitch by projection EUV exposure on the SEMATECH Berkeley MET, and 12-nm half-pitch by electron beam exposure. The platform is highly absorbing (16 μm-1) and etch resistant (>100:1 for silicon). These properties enable resist film thickness to be reduced to 20nm, thereby reducing aspect ratio and susceptibility to pattern collapse. New materials and processes show a path to improved photospeed. This paper also presents data for on coating uniformity, metal-impurity content, outgassing, pattern transfer, and resist strip.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2010

Photopatternable inorganic hardmask

Alan J. Telecky; Peng Xie; Jason K. Stowers; Andrew Grenville; Bruce W. Smith; Douglas A. Keszler

The authors present a directly photopatternable inorganic hardmask for 193 nm lithography based on the solution-deposited dielectric metal oxide sulfate (MSOx) system. To demonstrate pattern fidelity, 18 nm half-pitch features were written at a dose near 240 μC/cm2 (30 keV) with line width roughness values between 1.6 and 1.8 nm. Well-resolved and uniform 30 nm contact holes were fabricated via a litho-freeze-litho-etch process employing electron beam exposure and a simple thermal freeze. ZircSOx has a high index of refraction approaching 1.9 at 193 nm, and the extinction coefficient, k, can be varied by an order of magnitude by substituting Zr with Hf. Optical interference lithography at 193 nm was used to realize 60 nm half-pitch lines in MSOx at a dose of 25 mJ/cm2.


Proceedings of SPIE | 2015

Integrated fab process for metal oxide EUV photoresist

Andrew Grenville; Jeremy T. Anderson; Benjamin L. Clark; Peter De Schepper; Joseph Edson; Michael Greer; Kai Jiang; Michael Kocsis; Stephen T. Meyers; Jason K. Stowers; Alan J. Telecky; Danilo De Simone; Geert Vandenberghe

Inpria is developing directly patternable, metal oxide hardmasks as robust, high-resolution photoresists for EUV lithography. Targeted formulations have achieved 13nm half-pitch at 35 mJ/cm2 on an ASML’s NXE:3300B scanner. Inpria’s second-generation materials have an absorbance of 20/μm, thereby enabling an equivalent photon shot noise compared to conventional resists at a dose lower by a factor of 4X. These photoresists have ~40:1 etch selectivity into a typical carbon underlayer, so ultrathin 20nm films are possible, mitigating pattern collapse. In addition to lithographic performance, we review progress in parallel advances required to enable the transition from lab to fab for such a metal oxide photoresist. This includes considerations and data related to: solvent compatibility, metals cross-contamination, coat uniformity, stability, outgassing, and rework.


Journal of Micro-nanolithography Mems and Moems | 2016

Dynamic absorption coefficients of chemically amplified resists and nonchemically amplified resists at extreme ultraviolet

Roberto Fallica; Jason K. Stowers; Andrew Grenville; Andreas Frommhold; Alex P. G. Robinson; Yasin Ekinci

Abstract. The dynamic absorption coefficients of several chemically amplified resists (CAR) and non-CAR extreme ultraviolet (EUV) photoresists are measured experimentally using a specifically developed setup in transmission mode at the x-ray interference lithography beamline of the Swiss Light Source. The absorption coefficient α and the Dill parameters ABC were measured with unprecedented accuracy. In general, the α of resists match very closely with the theoretical value calculated from elemental densities and absorption coefficients, whereas exceptions are observed. In addition, through the direct measurements of the absorption coefficients and dose-to-clear values, we introduce a new figure of merit called chemical sensitivity to account for all the postabsorption chemical reaction ongoing in the resist, which also predicts a quantitative clearing volume and clearing radius, due to the photon absorption in the resist. These parameters may help provide deeper insight into the underlying mechanisms of the EUV concepts of clearing volume and clearing radius, which are then defined and quantitatively calculated.


Proceedings of SPIE | 2016

Metal oxide EUV photoresist performance for N7 relevant patterns and processes

Jason K. Stowers; Jeremy T. Anderson; Brian Cardineau; Benjamin L. Clark; Peter De Schepper; Joseph Edson; Michael Greer; Kai Jiang; Michael Kocsis; Stephen T. Meyers; Alan J. Telecky; Andrew Grenville; Danilo De Simone; Werner Gillijns; Geert Vandenberghe

Inpria continues to leverage novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance. Our resists have previously demonstrated 13nm line/space patterns at 35 mJ/cm2, with extendibility to 10nm half-pitch.1 We have continued to improve photospeed and in this work we provide an update on imaging performance. Since practical patterns for EUV layers will be more complicated than line/space patterns, we also expand on our previous work by demonstrating 2D resist performance using N7 (7nm node) contact and block mask patterns on full field scanners. A resist model has been created and using this model comparisons are made between a metal oxide resist and CAR platforms. Based on this physical model, the impact of shot noise is examined in relation to realistic 2D features. Preliminary data on the effect on OPC of using a non-chemically amplified resist are also presented.


Proceedings of SPIE | 2016

Dynamic absorption coefficients of CAR and non-CAR resists at EUV

Roberto Fallica; Jason K. Stowers; Andrew Grenville; Andreas Frommhold; Alex P. G. Robinson; Yasin Ekinci

The dynamic absorption coefficients of several CAR and non-CAR EUV photoresists are measured experimentally using a specifically developed setup in transmission mode at the XIL beamline of the Swiss Light Source. The absorption coefficient α and the Dill parameters ABC were measured with unprecedented accuracy. In general the α of resists match very closely with the theoretical value calculated from elemental densities and absorption coefficients, whereas exceptions are observed. In addition, through the direct measurements of the absorption coefficients and dose-to-clear values, we introduce a new figure of merit called Chemical Sensitivity to account for all the post-absorption chemical reaction ongoing in the resist, which is also predicts a quantitative clearing volume, and respectively clearing radius, due to the photon absorption in the resist. These parameters may help in deeper insight into the underlying mechanisms of EUV concept of clearing volume and clearing radius are then defined and quantitatively calculated.


Proceedings of SPIE | 2016

Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

Danilo De Simone; Ming Mao; Michael Kocsis; Peter De Schepper; Frederic Lazzarino; Geert Vandenberghe; Jason K. Stowers; Stephen T. Meyers; Benjamin L. Clark; Andrew Grenville; Vinh Luong; Fumiko Yamashita; Doni Parnell

Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.


Proceedings of SPIE | 2017

Compact 2D OPC modeling of a metal oxide EUV resist for a 7nm node BEOL layer

Adam Lyons; David Rio; Sook Lee; Thomas Wallow; Maxence Delorme; Anita Fumar-Pici; Michael Kocsis; Peter De Schepper; Michael Greer; Jason K. Stowers; Werner Gillijns; Danilo De Simone; Joost Bekaert

Inpria has developed a directly patternable metal oxide hard-mask as a high-resolution photoresist for EUV lithography1. In this contribution, we describe a Tachyon 2D OPC full-chip model for an Inpria resist as applied to an N7 BEOL block mask application.


Proceedings of SPIE | 2012

Demonstration of 22nm SRAM features with patternable hafnium oxide-based resist material using electron-beam lithography

Xaver Thrun; Kang-Hoon Choi; Martin Freitag; Andrew Grenville; Manuela Gutsch; Christoph Hohle; Jason K. Stowers; Johann W. Bartha

To fulfill the requirements of future technology nodes new resists with high resolution, high sensitivity and low LWR and LER respectively are needed. A new inorganic non-chemically amplified resist (XE15IB, an experimental resist provided by Inpria Corp.) was investigated. The resist is spin-cast from aqueous solution and is based on hafnium oxide. Metal oxide based resist as XE15IB supersede other resist materials due to its high etch resistance.1, 2 This new material can be considered as a direct patternable spin on hard mask. XE15IB was processed in a 300mm complementary metal oxide semiconductor (CMOS) manufacturing environment and exposed on a 50 kV VISTEC SB3050DW variable shaped electron beam direct writer at Fraunhofer Center Nanoelectronic Technologies (CNT). The resist was evaluated in terms of contrast, sensitivity and resolution. The process characteristics required for CMOS manufacturing such as delay stability were also examined. Furthermore, by printing a large static random access Memory (SRAM) pattern (design CD of 22 nm), the exposure of a real application pattern was demonstrated.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Population statistics of EUV printed MOx resist features (Conference Presentation)

Jason K. Stowers; Peter De Schepper; Michael Greer; Craig Needham; Stephen T. Meyers; Michael Kocsis; Andrew Grenville

The viability of EUV lithography depends upon the accurate placement of hundreds of billions of features per field with critical dimensions less than 30 nm using a minimal photon count. In this photon-limited regime, resist absorbance, radiochemical blur, and nanoscale homogeneity have important impacts on stochastic variability and device yield not captured by standard resist characterization in terms of resolution, line-edge roughness and sensitivity (RLS). Multiple studies have shown that low-probability printing failures critical to device yield are not accurately modeled by 7σ extrapolations from the small populations of features commonly analyzed to extract RLS parameters. Inpria continues to advance the development of high-resolution photo-patternable metal oxide (MOx) hardmasks specifically designed to address these beyond-RLS requirements, and herein we examine the population statistics of large numbers of MOx resist pillars printed on a NXE 3300B scanner. Published experimental lithography data on large numbers of EUV-printed features is limited, even for conventional chemically amplified resists (CARs). Accurate metrology on many millions of features is a resource intensive proposition which partially explains the relative scarcity of these critical data. To address this deficiency, a metrology protocol for analysis of >10 million contact holes or pillars has been developed and applied to features printed using Inpria MOx resists. The stochastic variability of multiple large pillar populations is analyzed as a function of exposure conditions, resist, and process chemistry. By comparing these experimentally observed populations with contact hole populations derived from conventional chemically amplified resists (CARs) the stochastic limits of the respective resist chemistries are probed.

Collaboration


Dive into the Jason K. Stowers's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge