Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Michael Kocsis is active.

Publication


Featured researches published by Michael Kocsis.


Proceedings of SPIE | 2011

Directly patterned inorganic hardmask for EUV lithography

Jason K. Stowers; Alan J. Telecky; Michael Kocsis; Benjamin L. Clark; Douglas A. Keszler; Andrew Grenville; Christopher N. Anderson; Patrick P. Naulleau

This paper describes a metal oxide patternable hardmask designed for EUV lithography. The material has imaged 15-nm half-pitch by projection EUV exposure on the SEMATECH Berkeley MET, and 12-nm half-pitch by electron beam exposure. The platform is highly absorbing (16 μm-1) and etch resistant (>100:1 for silicon). These properties enable resist film thickness to be reduced to 20nm, thereby reducing aspect ratio and susceptibility to pattern collapse. New materials and processes show a path to improved photospeed. This paper also presents data for on coating uniformity, metal-impurity content, outgassing, pattern transfer, and resist strip.


Proceedings of SPIE | 2015

Integrated fab process for metal oxide EUV photoresist

Andrew Grenville; Jeremy T. Anderson; Benjamin L. Clark; Peter De Schepper; Joseph Edson; Michael Greer; Kai Jiang; Michael Kocsis; Stephen T. Meyers; Jason K. Stowers; Alan J. Telecky; Danilo De Simone; Geert Vandenberghe

Inpria is developing directly patternable, metal oxide hardmasks as robust, high-resolution photoresists for EUV lithography. Targeted formulations have achieved 13nm half-pitch at 35 mJ/cm2 on an ASML’s NXE:3300B scanner. Inpria’s second-generation materials have an absorbance of 20/μm, thereby enabling an equivalent photon shot noise compared to conventional resists at a dose lower by a factor of 4X. These photoresists have ~40:1 etch selectivity into a typical carbon underlayer, so ultrathin 20nm films are possible, mitigating pattern collapse. In addition to lithographic performance, we review progress in parallel advances required to enable the transition from lab to fab for such a metal oxide photoresist. This includes considerations and data related to: solvent compatibility, metals cross-contamination, coat uniformity, stability, outgassing, and rework.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Immersion specific defect mechanisms : Findings and recommendations for their control

Michael Kocsis; Dieter Van den Heuvel; Roel Gronheid; Mireille Maenhoudt; Dizana Vangoidsenhoven; Greg Wells; Nickolay Stepanenko; Michael Benndorf; Hyun-woo Kim; Shinji Kishimura; Monique Ercken; Frieda Van Roey; S. O'Brien; Wim Fyen; Philippe Foubert; Richard Moerman; Bob Streefkerk

Defectivity has been one of the largest unknowns in immersion lithography. It is critical to understand if there are any immersion specific defect modes, and if so, what their underlying mechanisms are. Through this understanding, any identified defect modes can be reduced or eliminated to help advance immersion lithography to high yield manufacturing. Since February 2005, an ASML XT:1250Di immersion scanner has been operational at IMEC. A joint program was established to understand immersion defectivity by bringing together expertise from IMEC, ASML, resist vendors, IC manufactures, TEL, and KLA-Tencor. This paper will cover the results from these efforts. The new immersion specific defect modes that will be discussed are air bubbles in the immersion fluid, water marks, wafer edge film peeling, and particle transport. As part of the effort to understand the parameters that drive these defects, IMEC has also developed novel techniques for characterizing resist leaching and water uptake. The findings of our investigations into each immersion specific defect mechanism and their influencing factors will be given in this paper, and an attempt will be made to provide recommendations for a process space to operate in to limit these defects.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2015

Demonstration of 22-nm half pitch resolution on the SHARP EUV microscope

Markus P. Benk; Kenneth A. Goldberg; Antoine Wojdyla; Christopher N. Anderson; Farhad Salmassi; Patrick P. Naulleau; Michael Kocsis

The Semiconductor High-Numerical-aperture (NA) Actinic Reticle Review Project (SHARP) is an extreme ultraviolet (EUV)-wavelength, synchrotron-based microscope dedicated to advanced EUV photomask research. The instrument is designed to emulate current and future generations of EUV lithography (EUVL). The performance of the SHARP microscope has been well characterized for its low-NA lenses, emulating imaging in 0.25 and 0.33 NA lithography scanners. Evaluating the resolution of its higher-NA lenses, intended to emulate future generations of EUV lithography, requires a photomask with features down to 22-nm half pitch. The authors fabricated a sample with features down to 20-nm half pitch, exposing a wafer with a standard multilayer coating in the Berkeley microfield exposure tool, and used it to demonstrate real-space imaging down to 22-nm half pitch on the SHARP microscope. The demonstrated performance of SHARPs high-NA zoneplates, together with the extended capabilities of the tool, provide a platform tha...


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Top coat or no top coat for immersion lithography

Nickolay Stepanenko; Hyun-woo Kim; Shinji Kishimura; D. Van den Heuvel; Nadia Vandenbroeck; Michael Kocsis; Philippe Foubert; Mireille Maenhoudt; Monique Ercken; F. Van Roey; Roel Gronheid; Ivan Pollentier; Diziana Vangoidsenhoven; Christie Delvaux; C. Baerts; S. O'Brien; Wim Fyen; Greg Wells

Since the moment immersion lithography appeared in the roadmaps of IC manufacturers, the question whether to use top coats has become one of the important topics for discussions. The top coats used in immersion lithography have proved to serve as good protectors from leaching of the resist components (PAGs, bases) into the water. However their application complicates the process and may lead to two side effects. First, top coats can affect the process window and resist profile depending on the materials refractive index, thickness, acidity, chemical interaction with the resist and the soaking time. Second, the top coat application may increase the total amount of defects on the wafer. Having an immersion resist which could work without the top coat would be a preferable solution. Still, it is quite challenging to make such a resist as direct water/resist interaction may also result in process window changes, CD variations, generation of additional defects. We have performed a systematic evaluation of a large number of immersion resist and top coat combinations, using the ASML XT:1250Di scanner at IMEC. The samples for the experiments were provided by all the leading resist and top coat suppliers. Particular attention was paid to how the resist and top coat materials from different vendors interacted with each other. Among the factors which could influence the total amount of defects or CD variations on the wafer were: the materials dynamic contact angle and its interaction with the scanner stage speed, top coat thickness and intermixing layer formation, water uptake and leaching. We have examined the importance of all mentioned factors, using such analytical techniques as Resist Development Analyser (RDA), Quartz Crystal Microbalance (QCM), Mass Spectroscopy (MS) and scatterometry. We have also evaluated the influence of the pre- and pos- exposure rinse processes on the defectivity. In this paper we will present the data on imaging and defectivity performance of the resists with and without the use of top coats. So far we can conclude that top coat/resist approach used in immersion lithography needs some more improvements (i.e. process, materials properties) in order to be implemented in high volume manufacturing.


Proceedings of SPIE | 2016

Metal oxide EUV photoresist performance for N7 relevant patterns and processes

Jason K. Stowers; Jeremy T. Anderson; Brian Cardineau; Benjamin L. Clark; Peter De Schepper; Joseph Edson; Michael Greer; Kai Jiang; Michael Kocsis; Stephen T. Meyers; Alan J. Telecky; Andrew Grenville; Danilo De Simone; Werner Gillijns; Geert Vandenberghe

Inpria continues to leverage novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance. Our resists have previously demonstrated 13nm line/space patterns at 35 mJ/cm2, with extendibility to 10nm half-pitch.1 We have continued to improve photospeed and in this work we provide an update on imaging performance. Since practical patterns for EUV layers will be more complicated than line/space patterns, we also expand on our previous work by demonstrating 2D resist performance using N7 (7nm node) contact and block mask patterns on full field scanners. A resist model has been created and using this model comparisons are made between a metal oxide resist and CAR platforms. Based on this physical model, the impact of shot noise is examined in relation to realistic 2D features. Preliminary data on the effect on OPC of using a non-chemically amplified resist are also presented.


Proceedings of SPIE | 2007

Measurement and evaluation of water uptake by resists, top coats, stacks, and correlation with watermark defects

Philippe Foubert; Michael Kocsis; Roel Gronheid; Shinji Kishimura; Akimasa Soyano; Kathleen Nafus; Nickolay Stepanenko; Johan De Backer; Nadia Vandenbroeck; Monique Ercken

With immersion lithography approaching the insertion in production, watermarks remain as one of the main concerns for immersion specific defects. They require special attention because of their size and associated high kill-ratio, and their increasing occurrence at higher scan speeds. IMEC has been working to understand the underlying mechanism of why remaining water droplets cause these defects. This work focuses on water uptake measurements and how this parameter correlates to watermark defectivity. Ellipsometric Porosimetry (EP) is used to measure the water uptake tendencies of resist and top coat materials and stacks thereof, and investigate what parameters are affecting it. The influence of material and process parameters and the presence of a top coat on water uptake by the resist are evaluated. In parallel, the quartz crystal microbalance (QCM) technique has been used as an alternative option to measure the water uptake. Though a one-to-one comparison between the results is not straightforward, the main trends are identical for both techniques. No perfect correlation of watermark defectivity with water uptake has been found in this study. Nevertheless, the results show a tendency towards higher watermark sensitivity with higher water uptake by the film. It is recognized that the total watermark defectivity is most probably a complex interplay of different parameters with water uptake being only one of them.


Optical Microlithography XVIII | 2005

A methodology for the characterization of topography induced immersion bubble defects

Michael Kocsis; Peter De Bisschop; Mireille Maenhoudt; Young-Chang Kim; Greg Wells; Scott L. Light; Tony DiBiase

A key issue regarding the introduction of 193nm immersion lithography into production is immersion specific defects. One of these new defect types is the formation of air bubbles in the immersion fluid near or on the resist surface, which can then cause significant local dose variations. One possible mechanism for inducing bubble formation is the introduction of surface topography, such as seen on a typical product wafer, which could then disrupt the immersion fluid flow and entrain air. This brings up the question of what, if any, types of topography we need to be worried about and how do we test all the possible variants that will exist on product wafers. To help address this issue we have created a special topography reticle and wafer set and used them for exposures on a prototype immersion scanner. The wafer set was generated using a first level reticle designed to have an extremely wide range of topography types in a modular and systematically varying format. The wafer fabrication included skews of the trench depths, variation of the surface contact angle by using different topcoats, and optimization of the process flow to enable high contrast defect inspections. The second level reticle used for the immersion exposures was designed to cover the entire topography wafer with dose sensitive grating structures to detect any dose modulation caused by bubbles. In this paper we present the design of these reticles and wafers and the results of the first immersion exposures. Flat, unpatterned wafers were also exposed on the immersion tool in order to provide a basis for comparison. A KLA 2351 inspection tool was used to inspect all the wafers for defects. The initial results of these tests did not show a strong interaction of bubbles with topography.


Proceedings of SPIE | 2016

Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

Danilo De Simone; Ming Mao; Michael Kocsis; Peter De Schepper; Frederic Lazzarino; Geert Vandenberghe; Jason K. Stowers; Stephen T. Meyers; Benjamin L. Clark; Andrew Grenville; Vinh Luong; Fumiko Yamashita; Doni Parnell

Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.


Optical Microlithography XVII | 2004

Initial assessment of the lithographic impact of the use of hard pellicles: an overview

Peter De Bisschop; Michael Kocsis; Richard Joseph Bruls; Andrew Grenville; Chris K. Van Peski

We have made an experimental study of the use of Hard Pellicles in Optical Lithography. The goal of this work was to verify whether Hard Pellicles could be a viable alternative when soft (organic) pellicle material is not available, as is currently the case in 157 nm lithography. In our study we have compared scanner performance and lithographic results obtained with Hard Pellicles vs. the results without. Most of this work was actually done on a 193 nm scanner; only recently we started a pellicle-purging investigation on a 157 nm scanner. This part of the work is still ongoing. The results obtained so far are positive - we basically found no difference between the with-Hard-Pellicle results vs. the without-Hard-Pellicle results - and have not yielded any lithographic show stopper for their use in production. This paper presents a brief overview of the currently available results.

Collaboration


Dive into the Michael Kocsis's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Peter De Schepper

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge