Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Charles R. Chambers is active.

Publication


Featured researches published by Charles R. Chambers.


American Journal of Obstetrics and Gynecology | 1992

The effect of fetal sepsis on umbilical cord blood gases

Bruce A. Meyer; Jan E. Dickinson; Charles R. Chambers; Valerie M. Parisi

The relationship between fetal sepsis and acid-base status is unknown. We hypothesized that in utero sepsis would result in fetal metabolic acidemia. In a retrospective study during a 38-month period, the acid-base status at birth of neonates with in utero sepsis, documented by positive blood cultures, was reviewed. Compared with term neonates, preterm neonates had a 22-fold increase in the risk of bacteremia at birth. In spite of this increased risk of sepsis, there was no significant alteration in arterial pH in preterm septic neonates when compared with preterm controls. Fetal sepsis at term was accompanied by a statistically significant reduction in arterial pH (7.21 +/- 0.07) compared with controls (7.26 +/- 0.06, p less than 0.05). When controlled for other variables, the decrease in arterial pH at term was correlated with an increased duration of labor (7.3 +/- 0.7 in controls vs 10.8 +/- 0.9 hours in neonates with sepsis, p less than 0.05). The classic predictors of chorioamnionitis were found to be poor prognostic indicators of fetal bacteremia. Fetal sepsis at term is associated with a deterioration in the fetal acid-base status and a prolongation of labor.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Dissolution inhibitors for 157-nm microlithography

Will Conley; Daniel Miller; Charles R. Chambers; Brian P. Osborn; Raymond J. Hung; Hoang Vi Tran; Brian C. Trinque; Matthew J. Pinnow; Takashi Chiba; Scott Arthur Mcdonald; Paul Zimmerman; Ralph R. Dammel; Andrew R. Romano; C. Grant Willson

Fluorocarbon based polymers have been identified as promising resist candidates for 157nm material design because of their relatively high transparency at this wavelength. This paper reports our recent progress toward developing 157nm resist materials based on transparent dissolution inhibitors. These 2 component resist systems have been prepared and preliminary imaging studies at 157nm are described. Several new approaches to incorporating these transparent monomers into functional polymers have been investigated and are described. The lithographic performance of some of these polymers is discussed.


Advances in Resist Technology and Processing XX | 2003

Negative photoresist for 157-nm microlithography: a progress report

Will Conley; Brian C. Trinque; Daniel Miller; Stefan Caporale; Brian P. Osborn; Shiro Kumamoto; Matthew J. Pinnow; Ryan P. Callahan; Charles R. Chambers; Guen Su Lee; Paul Zimmerman; C. Grant Willson

The design of 157 nm photoresists is a daunting task since air, water, and most organic compounds are opaque at this wavelength. Spectroscopic studies1 led to the observation that fluorinated hydrocarbons offer the best hope for the transparency that is necessary for the design of an effective 157nm photoresist, and these classes of materials have quickly become the prominent platforms for a variety of research activities in this field. Our approach to the design of the resist polymer requires identification of a backbone that tethers the functional substituents and provides basic mechanical properties, an etch barrier that provides RIE resistance, an acidic group that permits solubility in tetramethylammonium hydroxide (TMAH) developer. Fluorocarbon polymers have been identified as promising resist candidates for 157nm material design because of their relatively high transparency at this wavelength. Numerous authors have discussed negative photoresists over the years. There are many uses for such materials at various levels in a semiconductor device. One such use is with complementary phase shift mask thus eliminating the need for a second exposure step. This paper reports our recent progress toward developing a negative 157nm resist materials based on fluoropolymers with crosslinkers that are transparent at 157nm. The authors will report on the synthesis of the polymers used in this work along with the crosslinkers and other additives used in the formulation of the photoresist. Imaging experiments at practical film thicknesses at 157nm with binary and strong phase shifting masks will be shown demonstrating imaging capabilities. Spectroscopic data demonstrating chemical mechanisms and material absorbance will be shown along with other process related information


SPIE's 27th Annual International Symposium on Microlithography | 2002

Advances in resists for 157-nm microlithography

Brian C. Trinque; Brian P. Osborn; Charles R. Chambers; Yu-Tsai Hsieh; Schuyler Boon Corry; Takashi Chiba; Raymond J. Hung; Hoang Vi Tran; Paul Zimmerman; Daniel Miller; Will Conley; C. Grant Willson

The synthesis and characterization of several new fluoropolymers designed for use in the formulation of photoresists for exposure at 157 nm will be described. The design of these resist platforms is based on learning from previously reported fluorine-containing materials. We have continued to explore anionic polymerizations, free radical polymerizations, metal-catalyzed addition polymerizations and metal-catalyzed copolymerizations with carbon monoxide in theses studies. The monomers were characterized by vacuum-UV (VUV) spectrometry and polymers characterized by variable angle spectroscopic ellipsometry (VASE). Resist formulations based on these polymers were exposed at the 157 nm wavelength to produce high-resolution images. The synthesis and structures of these new materials and the details of their processing will be presented.


Journal of Vacuum Science & Technology B | 2002

Recent advances in resists for 157 nm microlithography

Brian C. Trinque; Takashi Chiba; Raymond J. Hung; Charles R. Chambers; Matthew J. Pinnow; Brian P. Osborn; Hoang V. Tran; Jennifer Wunderlich; Yu-Tsai Hsieh; Brian H. Thomas; Gregory Shafer; Darryl D. DesMarteau; Will Conley; C. Grant Willson

The synthesis and characterization of several new fluoropolymers designed for use in the formulation of photoresists for exposure at 157 nm will be described. The design of these platforms has in some cases been inspired by ab initio quantum mechanical calculations of excited state transition energies and by interpretation of gas phase VUV spectrophotometric data. We have explored anionic polymerizations, free radical polymerizations, metal-catalyzed addition polymerizations and metal-catalyzed copolymerizations with carbon monoxide in these studies. The polymers and resist formulations were characterized by VUV spectrometry and variable angle spectroscopic ellipsometry (VASE). Resist formulations based on these polymers were exposed at the 157 nm wavelength to produce high-resolution images that will be presented.


Journal of Fluorine Chemistry | 2003

Vacuum-UV influenced design of polymers and dissolution inhibitors for next generation photolithography

Brian C. Trinque; Charles R. Chambers; Brian P. Osborn; Ryan P. Callahan; Geun Su Lee; Shiro Kusumoto; Daniel P. Sanders; Robert H. Grubbs; Willard E. Conley; C. Grant Willson

An overview of our 157 nm photoresist development activities is presented. Examination of the vacuum ultraviolet (VUV) absorbances of fluorinated monomers and polymers has provided knowledge that influenced copolymer design so that resist transparency in the vacuum-UV can be maximized. Partially fluorinated norbornenes and tricyclononenes (TCNs) have been incorporated into copolymers using metal-catalyzed addition and radical initiators. These materials have orders of magnitude higher transparency at 157 nm compared to their hydrocarbon analogues as measured by variable angle spectroscopic ellipsometry (VASE). We have also synthesized fluorinated dissolution inhibitors for use in a three-component resist system. The results of preliminary lithographic evaluations of resists formulated from these polymers are presented.


Advances in Resist Technology and Processing XXI | 2004

Implications of immersion lithography on 193-nm photoresists

J. Christopher Taylor; Charles R. Chambers; Ryan Deschner; Robert J. LeSuer; Willard E. Conley; Sean D. Burns; C. Grant Willson

Immersion lithography has been proposed as a technique to print sub-100nm features using 193nm lithography. The process involves filling the space between the lens fixture of an exposure tool and the photoresist-coated silicon wafer with a liquid. In the case of immersion 193nm lithography, water can serve as that liquid. The immersion option raises questions about how photoresists and water interact. Components of the photoresist could be leached into the water, thus modifying the refractive index of the medium, depositing material on the lens, or altering the solubility switching process of the photoresist. Several phenomena could affect the optical properties of the resist and water and, ultimately, the resolution of the process. To better understand the impact that immersion lithography would have on photoresist performance, a study has been undertaken to measure the amount of resist components that are leached by water from model 193nm photoresists. The components studied were residual casting solvent (propylene glycol methyl ether acetate), the photoacid generator (triphenylsulfonium nonaflate), and the base quencher (triethanolamine). Since it was expected that only a small amount of each material would be leached into the water, 14C-labeled samples of each resist component were synthesized and added to the 193nm resists. Films of the labeled resists were coated onto a silicon wafer and immersed in water. The water was collected and the film was dissolved in casting solvent and collected. The amount of material leached into the water was determined by radiochemical analysis. Spectroscopic ellipsometry was also used to quantify changes in the optical constants of the resists and the water.


Advances in resist technology and processing. Conference | 2005

Fluids and resists for hyper na immersion lithography

J. Christopher Taylor; Ramzy Shayib; Sumarlin Goh; Charles R. Chambers; Will Conley; Shang-Ho Lin; C. Grant Willson

Immersion lithography at 193 nm has rapidly changed status from a novel technology to the top contender for the 45 nm device node. The likelihood of implementation has raised interest in extending its capabilities. One way to extend immersion lithography would be to develop immersion fluids and resists with higher refractive indices than those currently available (n193 nm = 1.44 for water and n193 nm = 1.7 for typical resists). This work explores methods by which the index of refraction of immersion fluid could be increased to that of calcium fluoride (n193 nm = 1.56) or higher. A survey of the optical properties of various aqueous solutions was performed using spectroscopic ellipsometry. The refractive index of the solutions is measured to identify additives that might increase index while maintaining suitable pH, viscosity and contact angle. Also, ways to increase the index of model resist systems were explored. Higher index resists would help improve contrast in hyper-NA exposure tools.


Proceedings of SPIE - The International Society for Optical Engineering | 2003

Dissolution inhibitors for 157-nm photolithography

Charles R. Chambers; Shiro Kusumoto; Guen Su Lee; Alok Vasudev; Leonidas Walthal; Brian P. Osborn; Paul Zimmerman; Will Conley; C. Grant Willson

The focus of 157 nm lithographic research is shifting from materials research to process development. Poly (2-(3,3,3-trifluoro-2-trifuoromethyl-2-hydroxypropyl) bicyclo[2.2.1]heptane-5-ene) (PNBHFA) has received a great deal of attention as a possible base resin for 157 nm lithography. The Asahi Glass RS001 polymer, which was introduced at SPIE in 2002, has also shown promise as a 157 nm base resin due to its low absorbance. Partial protection of either polymer with an acid labile protecting group is a common design for functional photoresists. We previously reported the blending of the carbon monoxide copolymers with PNBHFA copolymers to achieve the critical number of protected sites for optimum imaging performance and contrast. Our group has since studied the use of the unprotected base resin with an additive monomeric dissolution inhibitors (DIs) and a photoacid generator (PAG) to form a three component resist. Surprisingly unprotected PNBHFA was discovered to have dissolution inhibition properties that are far superior to the dissolution inhibition properties of novolac. Several DIs were prepared and tested in PNBHFA to take advantage of the resins dissolution inhibition properties. We have also recently explored the performance of a two-component resist using PAGs that also function as DIs.


Advances in Resist Technology and Processing XXI | 2004

Design of dissolution inhibitors for chemically amplified photolithographic systems

Charles R. Chambers; Shiro Kusumoto; Brian P. Osborn; Alok Vasudev; Michitaka Ootani; Leonidas Walthal; Hale McMichael; Paul Zimmerman; Willard E. Conley; C. Grant Willson

Current semiconductor manufacturing utilizes exposure wavelengths from 365 nm to 193 nm, and current research is centered on photoresist development for 157 nm. Our research group discovered the strong inhibition response in the fluorocarbon resins designed for use at 157 nm. We have been investigating dissolution inhibitors (DIs), some of which also serve as photoacid generators (PAGs), that strongly inhibit the dissolution of poly(2-(3,3,3-trifluoro-2-trifuoromethyl-2-hydroxypropyl) bicyclo[2.2.1]heptane-5-ene)(PNBHFA) (1) and the Asahi glass RS001 polymer (2). These inhibiting PAGs, in particular, result in the creation of 2-component resist systems consisting only of the resin polymer and the PAG-DI. This design enables greater ease of formulation, reduces the number of variables present in resist development, and offers improvements in sensitivity and line edge roughness. The synthetic approach has been to design transparent, inhibiting compounds for use at 157 nm. However, during our investigation of these compounds, we found that there is an inherent “backwards compatibility” for these PAGs and DIs at 193 nm, 248 nm and 365 nm. This has created the ability to effectively design dissolution inhibitors, photoactive or otherwise, that span virtually all of the wavelengths used in photolithographic processes today. Here we will present the design, development and imaging of modern dissolution inhibitors suitable for use in a wide range of photolithography technologies.

Collaboration


Dive into the Charles R. Chambers's collaboration.

Top Co-Authors

Avatar

C. Grant Willson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Brian P. Osborn

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Brian C. Trinque

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Will Conley

Freescale Semiconductor

View shared research outputs
Top Co-Authors

Avatar

Takashi Chiba

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Matthew J. Pinnow

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Raymond J. Hung

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge