Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Willard E. Conley is active.

Publication


Featured researches published by Willard E. Conley.


Photomask and Next-Generation Lithography Mask Technology XI | 2004

Contact hole reticle optimization by using interference mapping lithography (IML)

Robert John Socha; Douglas Van Den Broeke; J. Fung Chen; Thomas L. Laidig; Noel Corcoran; Uwe Hollerbach; Kurt E. Wampler; Xuelong Shi; Willard E. Conley

The theory of interference mapping lithography (IML) is presented for low k1 (k1<0.4) contact hole imaging. IML with a coherent source is shown to be analogous to methods used in creating a Fresnel lens. With IML for a partially coherent source, the interference map is calculated by using the first eigenfunction of the transmission cross coefficient (TCC). From this interference map, clear 0° AFs and for clear 180° AFs are placed in the optimal location. Thus, IML is a method to place AFs via a model. From the interference map, a method for creating a CPL mask is demonstrated. Using IML, techniques to optimize a binary mask or a CPL mask are presented for maximizing the exposure latitude (EL) or depth of focus (DOF). These techniques are verified with simulation. Using IML for maximum EL, a CPL mask with 100nm (k1=0.39) contacts was created and exposed on an ASML /1100 ArF scanner using NA of 0.75 and Quasar illumination (σin=0.72, σout=0.92, span angle=20°). Measurements on the exposed wafers show that IML CPL results in printing 100nm contacts through pitch (200nm minimum pitch to isolated) with 0.45μm DOF at 10% EL.


Advances in Resist Technology and Processing XXI | 2004

Liquid immersion lithography: evaluation of resist issues

William D. Hinsberg; Gregory M. Wallraff; Carl E. Larson; Blake Davis; Vaughn R. Deline; Simone Raoux; Dolores C. Miller; Frances A. Houle; John A. Hoffnagle; Martha I. Sanchez; C. T. Rettner; Linda K. Sundberg; David R. Medeiros; Ralph R. Dammel; Willard E. Conley

We address in this report a set of key questions tied to the implementation of liquid immersion lithography, from the perspective of the resist materials. We discuss the broad question of whether chemically amplified resists are capable of achieving the spatial resolution that ultimately will be required for the most advanced immersion scenario. Initial studies undertaken using model 193 nm resist materials provide some insight into how an aqueous liquid immersion process can affect the resist material.


Advances in Resist Technology and Processing XXI | 2004

Is ArF the final wavelength

Willard E. Conley; Joseph J. Bendik

The lithography prognosticator of the early 1980’s declared the end of optics for sub-0.5μm imaging. However, significant improvements in optics, photoresist and mask technology continued through the mercury lamp lines (436, 405 & 365nm) and into laser bands of 248nm and to 193nm. As each wavelength matured, innovative optical solutions and further improvements in photoresist technology have demonstrated that extending imaging resolution is possible thus further reducing k1. Several authors have recently discussed manufacturing imaging solutions for sub-0.3k1 and the integration challenges. Our industry will continue to focus on the most cost effective solution. What continues to motivate lithographers to discover new and innovative lithography solutions? Recent publications have demonstrated sub 0.30 k1 imaging. The answer is cost. The development of new tooling, masks and even photoresist platforms impacts cost. The switch from KrF to ArF imaging materials has a significant impact on process integration. The requirements stated in the ITRS roadmap for current and future technology nodes are very aggressive. Therefore, it is likely that high NA in combination with enhancement techniques will continue further for aggressive imaging solutions.


Journal of Fluorine Chemistry | 2003

Vacuum-UV influenced design of polymers and dissolution inhibitors for next generation photolithography

Brian C. Trinque; Charles R. Chambers; Brian P. Osborn; Ryan P. Callahan; Geun Su Lee; Shiro Kusumoto; Daniel P. Sanders; Robert H. Grubbs; Willard E. Conley; C. Grant Willson

An overview of our 157 nm photoresist development activities is presented. Examination of the vacuum ultraviolet (VUV) absorbances of fluorinated monomers and polymers has provided knowledge that influenced copolymer design so that resist transparency in the vacuum-UV can be maximized. Partially fluorinated norbornenes and tricyclononenes (TCNs) have been incorporated into copolymers using metal-catalyzed addition and radical initiators. These materials have orders of magnitude higher transparency at 157 nm compared to their hydrocarbon analogues as measured by variable angle spectroscopic ellipsometry (VASE). We have also synthesized fluorinated dissolution inhibitors for use in a three-component resist system. The results of preliminary lithographic evaluations of resists formulated from these polymers are presented.


Journal of Micro-nanolithography Mems and Moems | 2008

Application of quantitative structure property relationship to the design of high refractive index 193i resist

Heping Liu; Idriss Blakey; Willard E. Conley; Graeme A. George; David J. T. Hill; Andrew K. Whittaker

A robust quantitative structure property relationship (QSPR) model with five parameters has been developed from 126 organic compounds for the prediction of refractive index at 589 nm. The model and the knowledge of the refractive index dispersion were used in the rational design of new materials for 193-nm immersion lithography. The significance of this model is that the structural descriptors can be readily calculated and the factors that significantly affect refractive index can be easily identified and used to guide the selection of candidates. Using this model, rapid screening of large structure databases is possible in order to find candidates. As an example of this approach, the synthesis of the copolymer of a trithiocyclane-methacrylate derivative, identified by the model, with 2-methyl adamantyl methacrylate is described. The measured refractive index of the copolymer at 589 nm agrees well with the value predicted by the model. The new polymer showed a 9.4% increase in refractive index at 193 nm compared with the standard ArF resist.


Advances in Resist Technology and Processing XXI | 2004

Implications of immersion lithography on 193-nm photoresists

J. Christopher Taylor; Charles R. Chambers; Ryan Deschner; Robert J. LeSuer; Willard E. Conley; Sean D. Burns; C. Grant Willson

Immersion lithography has been proposed as a technique to print sub-100nm features using 193nm lithography. The process involves filling the space between the lens fixture of an exposure tool and the photoresist-coated silicon wafer with a liquid. In the case of immersion 193nm lithography, water can serve as that liquid. The immersion option raises questions about how photoresists and water interact. Components of the photoresist could be leached into the water, thus modifying the refractive index of the medium, depositing material on the lens, or altering the solubility switching process of the photoresist. Several phenomena could affect the optical properties of the resist and water and, ultimately, the resolution of the process. To better understand the impact that immersion lithography would have on photoresist performance, a study has been undertaken to measure the amount of resist components that are leached by water from model 193nm photoresists. The components studied were residual casting solvent (propylene glycol methyl ether acetate), the photoacid generator (triphenylsulfonium nonaflate), and the base quencher (triethanolamine). Since it was expected that only a small amount of each material would be leached into the water, 14C-labeled samples of each resist component were synthesized and added to the 193nm resists. Films of the labeled resists were coated onto a silicon wafer and immersed in water. The water was collected and the film was dissolved in casting solvent and collected. The amount of material leached into the water was determined by radiochemical analysis. Spectroscopic ellipsometry was also used to quantify changes in the optical constants of the resists and the water.


Advances in Resist Technology and Processing XXI | 2004

Using scanning electrochemical microscopy to probe chemistry at the solid-liquid interface in chemically amplified immersion lithography

Robert J. LeSuer; Fu-Ren F. Fan; Allen J. Bard; J. Christopher Taylor; Pavlos C. Tsiartas; Grant Willson; Willard E. Conley; Gene Feit; Roderick R. Kunz

Three modes of scanning electrochemical microscopy (SECM) - voltammetry, pH, and conductivity - have been used to better understand the chemistry at, and diffusion through, the solid/liquid interface formed between a resist film and water in 193 nm immersion lithography. Emphasis has been placed on investigating the photoacid generator (PAG), triphenylsulfonium perfluorobutanesulfonate, and the corresponding photoacid. The reduction of triphenylsulfonium at a hemispherical Hg microelectrode was monitored using square wave voltammetry to detect trace amounts of the PAG leaching from the surface. pH measurements at a 100 μm diameter Sb microelectrode show the formation of acid in the water layer above a resist upon exposure with UV irradiation. Bipolar conductance measurements at a 100 μm Pt tip positioned 100 μm from the surface indicate that the conductivity of the solution during illumination is dependent upon the percentage of PAG in the film. Liquid chromatography mass spectrometric analysis of water samples in contact with resist films has been used to quantify the amounts (< 10 ng/cm2) of PAG leaching from the film in the dark which occurs within the first 30 seconds of contact time. Washing the film removes approximately 80% of the total leachable PAG.


Advances in Resist Technology and Processing XXI | 2004

Recent advances in fluorinated resists for application at 157 nm

Francis M. Houlihan; Raj Sakamuri; Andrew R. Romano; David Rentkiewicz; Ralph R. Dammel; Willard E. Conley; Daniel Miller; Michael Sebald; Nickolay Stepanenko; Matthias Markert; Uta Mierau; Inge Vermeir; Christoph Hohle; Toshiro Itani; Masato Shigematsu; Etsurou Kawaguchi

This paper is part of our continuing work on a new generation of more transparent, 157 nm resist platforms, which are based upon capping of fluoroalcohol-substituted, transparent perfluorinated resins (TFR) with a tert-butoxycarbonylmethyl (BOCME) moiety. Recent results indicate that by optimizing both resin structure and loading of photoacid generator and base additive a good compromise can be achieved between resolution power, dark erosion resistance, sensitivity and transparency at 157 nm. Specifically, it was found that a decrease in PAG (50% nominal loading) and base loading (75% nominal loading), coupled with optimization of the TFR resins to achieve higher transparency, gives the best compromise of properties. In this manner, resist systems with a transparency as low as 0.87 AU/micron were designed capable of resolving 60 nm 1:1 features, at a dose of 92 mJ/cm2 (non corrected for sigma), using a strong phase shift mask, and a sigma of 0.3 on a Exitech 157 nm small field mini-stepper. This type of resist material has also been imaged with a larger field tool (DUV30 Micrascan VII) to give 80 nm 1.1.5 L/S features at a dose of 135 mJ/cm2 employing using a Binary mask (σ=0.85). Finally, it was found that our BOCME-TFR based resist system can be used to transfer a 120 nm L/S pattern (imaged by 193 nm lithography) into a hardmask stack on top of silicon.


Advances in Resist Technology and Processing XXI | 2004

Design of dissolution inhibitors for chemically amplified photolithographic systems

Charles R. Chambers; Shiro Kusumoto; Brian P. Osborn; Alok Vasudev; Michitaka Ootani; Leonidas Walthal; Hale McMichael; Paul Zimmerman; Willard E. Conley; C. Grant Willson

Current semiconductor manufacturing utilizes exposure wavelengths from 365 nm to 193 nm, and current research is centered on photoresist development for 157 nm. Our research group discovered the strong inhibition response in the fluorocarbon resins designed for use at 157 nm. We have been investigating dissolution inhibitors (DIs), some of which also serve as photoacid generators (PAGs), that strongly inhibit the dissolution of poly(2-(3,3,3-trifluoro-2-trifuoromethyl-2-hydroxypropyl) bicyclo[2.2.1]heptane-5-ene)(PNBHFA) (1) and the Asahi glass RS001 polymer (2). These inhibiting PAGs, in particular, result in the creation of 2-component resist systems consisting only of the resin polymer and the PAG-DI. This design enables greater ease of formulation, reduces the number of variables present in resist development, and offers improvements in sensitivity and line edge roughness. The synthetic approach has been to design transparent, inhibiting compounds for use at 157 nm. However, during our investigation of these compounds, we found that there is an inherent “backwards compatibility” for these PAGs and DIs at 193 nm, 248 nm and 365 nm. This has created the ability to effectively design dissolution inhibitors, photoactive or otherwise, that span virtually all of the wavelengths used in photolithographic processes today. Here we will present the design, development and imaging of modern dissolution inhibitors suitable for use in a wide range of photolithography technologies.


Photomask and Next-Generation Lithography Mask Technology XI | 2004

CPL reticle technology for advanced device applications

Willard E. Conley; Douglas Van Den Broeke; Robert John Socha; Wei Wu; Lloyd C. Litt; Kevin D. Lucas; Bernard J. Roman; Richard D. Peters; Colita Parker; J. Fung Chen; Kurt E. Wampler; Thomas L. Laidig; Erika Schaefer; Jan-Pieter Kuijten; Arjan Verhappen; Stephan van de Goor; Martin Chaplin; Bryan S. Kasprowicz; Christopher J. Progler; Emilien Robert; Philippe Thony; Michael E. Hathorn

Each generation of semiconductor device technology drive new and interesting resolution enhancement technology (RETs). The race to smaller and smaller geometrys has forced device manufacturers to k1s approaching 0.40. The authors have been investigating the use of Chromeless phase-shifting masks (CLM) exposed with ArF, high numerical aperture (NA), and off-axis illumination (OAI) has been shown to produce production worthy sub-100nm resist patterns with acceptable overlapped process window across feature pitch. There have been a number of authors who have investigated CLM in the past but the technology has never received mainstream attention due to constraints such as wet quartz etch during mask fabrication, limited approach to optical proximity correction (OPC), and exposure tool limitations such as on-axis illumination and too low of NA. With novel binary halftone OPC and a capable modern mask making process, it has become possible to achieve global and local pattern optimization of the phase shifter for a given layout especially for patterning features with dimension at sub-half-exposure wavelength. The authors have built a number of test structures that require superior 2D control for SRAM gate structures. In this paper the authors will focus on image process integration for the 65nm node. Emphasis on pattern layout, mask fabrication and image processing will be discussed. Furthermore, the authors will discuss defect printing, inspection and repair, mask error enhancement factor (MEEF) of 2D structures coupled with phase error, layout, and mask fabrication specifications.

Collaboration


Dive into the Willard E. Conley's collaboration.

Top Co-Authors

Avatar

C. Grant Willson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Charles R. Chambers

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

J. Christopher Taylor

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Brian P. Osborn

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Allen J. Bard

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Brian C. Trinque

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge