Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Daniel J. W. Brown is active.

Publication


Featured researches published by Daniel J. W. Brown.


Proceedings of SPIE | 2015

Performance optimization of MOPA pre-pulse LPP light source

Alexander Schafgans; Daniel J. W. Brown; Igor V. Fomenkov; Rick Sandstrom; Alex I. Ershov; G. Vaschenko; Rob Rafac; Michael B. Purvis; Slava Rokitski; Yezheng Tao; Daniel J. Riggs; Wayne J. Dunstan; Matthew J. Graham; Nigel R. Farrar; David C. Brandt; N. Böwering; Alberto Pirati; Noreen Harned; Christian Wagner; Hans Meiling; Ron Kool

This paper describes the development and evolution of the critical architecture for a laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source for advanced lithography applications in high volume manufacturing (HVM). In this paper we discuss the most recent results from high power sources in the field and testing on our laboratory based development systems, and describe the requirements and technical challenges related to successful implementation of those technologies on production sources. System performance is shown, focusing on pre-pulse operation with high conversion efficiency (CE) and with dose control to ensure high die yield. Finally, experimental results evaluating technologies for generating stable EUV power output for a high volume manufacturing (HVM) LPP source will be reviewed.


Proceedings of SPIE | 2012

Laser produced plasma EUV sources for device development and HVM

David C. Brandt; Igor V. Fomenkov; Michael J. Lercel; Bruno La Fontaine; David W. Myers; Daniel J. W. Brown; Alex I. Ershov; Richard L. Sandstrom; Alexander N. Bykanov; G. Vaschenko; N. Böwering; Palash P. Das; Vladimir B. Fleurov; Kevin Zhang; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Silvia De Dea; Wayne J. Dunstan; Peter M. Baumgart; Toshi Ishihara; Rod D. Simmons; Robert N. Jacques; Robert A. Bergstedt; Peter I. Porshnev; Christian J. Wittak; Michael R. Woolston; Robert J. Rafac; Jonathan Grava; Alexander Schafgans

Laser produced plasma (LPP) systems have been developed as the primary approach for the EUV scanner light source for optical imaging of circuit features at sub-22nm and beyond nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. We present the latest results on exposure power generation, collection, and clean transmission of EUV through the intermediate focus. Semiconductor industry standards for reliability and source availability data are provided. We report on measurements taken using a 5sr normal incidence collector on a production system. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. Deposition of target material as well as sputtering or implantation of incident particles can reduce the reflectivity of the mirror coating during exposure. Debris mitigation techniques are used to inhibit damage from occuring, the protection results of these techniques will be shown over multi-100s of hours.


Proceedings of SPIE | 2013

Laser produced plasma EUV light source for EUVL patterning at 20nm node and beyond

Igor V. Fomenkov; David C. Brandt; Nigel R. Farrar; Bruno La Fontaine; N. Böwering; Daniel J. W. Brown; Alex I. Ershov; David W. Myers

This paper describes the development of a laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source for advanced lithography applications in high volume manufacturing. EUV lithography is expected to succeed 193nm immersion double patterning technology for sub-20nm critical layer patterning. In this paper we discuss the most recent results from high power testing on our development systems in San Diego, and describe the requirements and technical challenges related to successful implementation of these technologies. Subsystem performance will be shown including the CO2 drive laser, droplet generation, laser-to-droplet targeting control, intermediate-focus (IF) metrology, out-of-band (OOB) radiation measurements and system use and experience. In addition, a multitude of smaller lab-scale experimental systems have also been constructed and tested..


Journal of Micro-nanolithography Mems and Moems | 2012

Development of stable extreme-ultraviolet sources for use in lithography exposure systems

Igor V. Fomenkov; Bruno La Fontaine; Daniel J. W. Brown; Imtiaz Ahmad; Peter M. Baumgart; N. Böwering; David C. Brandt; Alexander N. Bykanov; Silvia De Dea; Alex I. Ershov; Nigel R. Farrar; Daniel J. Golich; Michael J. Lercel; David W. Myers; Chirag Rajyaguru; Shailendra N. Srivastava; Yezheng Tao; G. Vaschenko

Laser-produced plasma sources offer the best option for scal- ability to support high-throughput lithography. Challenges associated with the complexity of such a source are being addressed in a pilot program where sources have been built and integrated with extreme-ultraviolet (EUV) scanners. Up to now, five pilot sources have been installed at R&D facilities of chip manufacturers. Two pilot sources are dedicated to product development at our facility, where good dose stability has been demonstrated up to levels of 32 W of average EUV power. Experi- mental tests on a separate experimental system using a laser prepulse to optimize the plasma conditions or EUV conversion show power levels equivalent to approximately 160 W within a low duty-cycle burst, before dose control is applied. The overall stability of the source relies on the generation of Sn droplet targets and large EUV collector mirrors. Stability of the Sn droplet stream is well below 1 μm root mean square during 100 þ h of testing. The lifetime of the collector is significantly enhanced with improved coatings, supporting uninterrupted operation for several weeks.


Proceedings of SPIE | 2008

XLR 600i: recirculating ring ArF light source for double patterning immersion lithography

Vladimir B. Fleurov; Slava Rokitski; Robert A. Bergstedt; Hong Ye; Kevin O’Brien; Robert N. Jacques; Fedor Trintchouk; Efrain Figueroa; Theodore Cacouris; Daniel J. W. Brown; William N. Partlo

Double patterning (DP) lithography is expected to be deployed at the 32nm node to enable the extension of high NA (≥1.3) scanner systems currently used for 45nm technology. Increasing the light source power is one approach to address the intrinsically lower throughput that DP imposes. Improved energy stability also provides a means to improve throughput by enabling fewer pulses per exposure slit window, which in turn enables the use of higher scanner stage speeds. Current excimer laser light sources for deep UV immersion lithography are operating with powers as high as 60W at 6 kHz repetition rates. In this paper, we describe the introduction of the XLR 600i, a 6 kHz excimer laser that produces 90W power, based on a recirculating ring technology. Improved energy stability is inherent to the ring technology. Key to the successful acceptance of such a higher power, or higher energy laser is the ability to reduce operating costs. For this reason, the recirculating ring technology provides some unique advantages that cannot be realized with conventional excimer lasers today. Longer intrinsic pulse durations that develop in the multi-pass ring architecture reduce the peak power that the optics are subjected to, thereby improving lifetime. The ring architecture also improves beam uniformity that results in a significantly reduced peak energy density, another key factor in preserving optics lifetime within the laser as well as in the scanner. Furthermore, in a drive to reduce operating costs while providing advanced technical capability, the XLR 600i includes an advanced gas control management system that extends the time between gas refills by a factor of ten, offering a significant improvement in productive time. Finally, the XLR 600i provides a novel bandwidth stability control system that reduces variability to provide better CD control, which results in higher wafer yields.


Proceedings of SPIE | 2007

XLR 500i: recirculating ring ArF light source for immersion lithography

Daniel J. W. Brown; P. O'Keeffe; Vladimir B. Fleurov; Rostislav Rokitski; Robert A. Bergstedt; Igor V. Fomenkov; Kevin O'Brien; Nigel R. Farrar; William N. Partlo

As Argon Fluoride (ArF) lithography moves into high volume production, ArF light sources need to meet performance requirements beyond the traditional drivers of power and bandwidth. The first key requirement is a continuous decrease in Cost of Ownership (CoO) where the industry requirement is for reduction in ArF CoO in line with the historical cost reduction demonstrated for Krypton Fluoride (KrF) light sources. A second requirement is improved light source performance stability. As CD control requirements shrink, following the ITRS roadmap, all process parameters which affect CD variation need tighter control. In the case of the light source, these include improved control of bandwidth, pulse energy stability and wavelength. In particular, CD sensitivity to exposure dose has become a serious challenge for device processing and improvements to laser pulse energy stability can contribute to significantly better dose control. To meet these performance challenges Cymer has designed a new dual chamber laser architecture. The Recirculating Ring design requires 10X less energy from the Master Oscillator (MO). This new configuration enables the MO chamber lifetime to reach that of the power amplifier chamber at around 30Bp. In addition, other optical modules in the system such as the line narrowing module experience lower light intensity, ensuring even longer optics lifetime. Furthermore, the Recirculating Ring configuration operates in much stronger saturation. MO energy instabilities are reduced by a factor of 9X when passed through the Ring. The output energy stability exhibits the characteristics of a fully saturated amplifier and pulse energy stability improvement of 1.5X is realized. This performance enables higher throughput scanner operation with enhanced dose control. The Recirculating Ring technology will be introduced on the XLR 500i, Cymers fifth-generation dual chamber-based light source built on the production-proven XLA platform. This paper will describe the design details and performance characteristics of the new laser architecture.


Proceedings of SPIE | 2010

High reliability ArF light source for double patterning immersion lithography

Rostislav Rokitski; Toshi Ishihara; Rajeskar Rao; Rui Jiang; Mary Haviland; Theodore Cacouris; Daniel J. W. Brown

Double patterning lithography places significant demands not only on the optical performance of the light source (higher power, improved parametric stability), but also on high uptime in order to meet the higher throughput requirements of the litho cell. In this paper, we will describe the challenges faced in delivering improved performance while achieving better reliability and resultant uptime as embodied in the XLR 600ix light source from Cymer, announced one year ago. Data from extended life testing at 90W operation will be shown to illustrate these improvements.


Proceedings of SPIE | 2016

Advancements in predictive plasma formation modeling

Michael A. Purvis; Alexander Schafgans; Daniel J. W. Brown; Igor V. Fomenkov; Rob Rafac; Josh Brown; Yezheng Tao; Slava Rokitski; Mathew Abraham; Mike Vargas; Spencer D. Rich; Ted Taylor; David C. Brandt; Alberto Pirati; Aaron Fisher; Howard A. Scott; Alice Koniges; David C. Eder; S. C. Wilks; A. Link; Steven H. Langer

We present highlights from plasma simulations performed in collaboration with Lawrence Livermore National Labs. This modeling is performed to advance the rate of learning about optimal EUV generation for laser produced plasmas and to provide insights where experimental results are not currently available. The goal is to identify key physical processes necessary for an accurate and predictive model capable of simulating a wide range of conditions. This modeling will help to drive source performance scaling in support of the EUV Lithography roadmap. The model simulates pre-pulse laser interaction with the tin droplet and follows the droplet expansion into the main pulse target zone. Next, the interaction of the expanded droplet with the main laser pulse is simulated. We demonstrate the predictive nature of the code and provide comparison with experimental results.


Proceedings of SPIE | 2014

LPP EUV source readiness for NXE 3300B

David C. Brandt; Igor V. Fomenkov; Nigel R. Farrar; Bruno La Fontaine; David W. Myers; Daniel J. W. Brown; Alex I. Ershov; N. Böwering; Daniel J. Riggs; Robert J. Rafac; Silvia De Dea; Rudy Peeters; Hans Meiling; Noreen Harned; Daniel Smith; Alberto Pirati; Robert Kazinczi

Laser produced plasma (LPP) light sources have been developed as the primary approach for EUV scanner imaging of circuit features in sub-20nm devices in high volume manufacturing (HVM). This paper provides a review of development progress and readiness status for the LPP extreme-ultra-violet (EUV) source. We present the latest performance results from second generation sources, including Prepulse operation for high power, collector protection for long lifetime and low cost of ownership, and dose stability for high yield. Increased EUV power is provided by a more powerful drive laser and the use of Prepulse operation for higher conversion efficiciency. Advanced automation and controls have been developed to provide the power and energy stability performance required during production fab operation. We will also discuss lifetesting of the collector in Prepulse mode and show the ability of the debris mitigation systems to keep the collector multi-layer coating free from damage and maintain high reflectivity.


Proceedings of SPIE | 2012

Light sources for EUV lithography at the 22-nm node and beyond

Igor V. Fomenkov; N. Böwering; David C. Brandt; Daniel J. W. Brown; Alexander N. Bykanov; Alex I. Ershov; Bruno La Fontaine; Michael J. Lercel; David W. Myers

Through a number of experimental studies carried out on various experimental test stands we are characterizing the scaling of EUV power and collector lifetime. The current performance of the first generation of EUV sources to support EUV lithography scanners is at 20 W power and 70% availability. CO2 drive laser power of up to 17 kW has been reached, while average EUV power of nearly 50 W was demonstrated on an HVM I source with a laser pre-pulse at our facilities. The burst EUV power on this source was in excess of 90 W at 10% to 20% duty cycle and closer to 60 W at 80% duty cycle since the full set of automated controls has not yet been implemented on this source. Once the automation of the laser-droplet position controls is implemented on our pre-pulse system, the average source power is expected to reach power levels on the order of 100 W. Further scaling of source power through operation at repetition rates higher than 50 kHz was also shown to be possible. Through improved gas management, better coatings and parallel testing of collector samples, we have significantly extended the useful life of the source collector mirrors.

Collaboration


Dive into the Daniel J. W. Brown's collaboration.

Researchain Logo
Decentralizing Knowledge