Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Michael Goldstein is active.

Publication


Featured researches published by Michael Goldstein.


Optics Letters | 2008

Extreme-ultraviolet microexposure tool at 0.5 NA for sub-16 nm lithography

Michael Goldstein; Russ Hudyma; Patrick P. Naulleau; Stefan Wurm

The resolution limit of present 0.3 NA 13.5 nm wavelength microexposure tools is compared to next-generation lithography research requirements. Findings suggest that a successor design is needed for patterning starting at the 16 nm semiconductor process technology node. A two-mirror 0.5 NA optical design is presented, and performance expectations are established from detailed optical and lithographic simulation. We report on the results from a SEMATECH program to fabricate a projection optic with an ultimate resolution limit of approximately 11 nm.


Proceedings of SPIE | 2013

Projection optics for extreme ultraviolet lithography (EUVL) micro-field exposure tools (METs) with a numerical aperture of 0.5

Holger Glatzel; Dominic Ashworth; Mark Bremer; Rodney Chin; Kevin Cummings; Luc Girard; Michael Goldstein; Eric M. Gullikson; Russ Hudyma; Jim Kennon; Bob Kestner; Lou Marchetti; Patrick P. Naulleau; Regina Soufli

In support of the Extreme Ultraviolet Lithography (EUVL) roadmap, a SEMATECH/CNSE joint program is under way to develop 13.5 mn R and D photolithography tools with small fields (micro-field exposure tools [METs]) and numerical apertures (NAs) of 0.5. The transmitted wavefront error of the two-mirror optical projection module (projection optics box [FOB]) is specified to less than 1 mn root mean square (RMS) over its 30 μm x 200 μm image field. Not accounting for scatter and flare losses, its Strehl ratio computes to 82%. Previously reported lithography modeling on this system [1] predicted a resolution of 11 mn with a k-factor of 0.41 and a resolution of 8 mn with extreme dipole illumination. The FOBs magnification (5X), track length, and mechanical interfaces match the currently installed 0.3 NA FOBs [2] [3] [6], so that significant changes to the current tool platforms and other adjacent modules will not be necessary. The distance between the reticle stage and the secondary mirror had to be significantly increased to make space available for the upgraded 0.5 NA illumination modules [1].


Proceedings of SPIE | 2009

The SEMATECH Berkeley microfield exposure tool: learning at the 22-nm node and beyond

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Michael Goldstein; Brian Hoef; Russ Hudyma; Gideon Jones; Chawon Koh; Bruno La Fontaine; Brittany McClinton; Ryan Miyakawa; Warren Montgomery; John Roller; Thomas Wallow; Stefan Wurm

Microfield exposure tools (METs) continue to play a dominant role in the development of extreme ultraviolet (EUV) resists. One of these tools is the SEMATECH Berkeley 0.3-NA MET operating as a SEMATECH resist and mask test center. Here we present an update summarizing the latest resist test and characterization results. The relatively small numerical aperture and limited illumination settings expected from 1st generation EUV production tools make resist resolution a critical issue even at the 32-nm node. In this presentation, sub 22 nm half pitch imaging results of EUV resists are reported. We also present contact hole printing at the 30-nm level. Although resist development has progressed relatively well in the areas of resolution and sensitivity, line-edge-roughness (LER) remains a significant concern. Here we present a summary of recent LER performance results and consider the effect of system-level contributors to the LER observed from the SEMATECH Berkeley microfield tool.


Proceedings of SPIE | 2014

Actinic review of EUV masks: first results from the AIMS EUV system integration

Markus Weiss; Dirk Hellweg; Jan Hendrik Peters; Sascha Perlitz; Anthony Garetto; Michael Goldstein

The EUV mask infrastructure is of key importance for a successful introduction of EUV lithography into volume production. In particular, for the production of defect free masks, actinic review of potential defect sites is required. To realize such an actinic review tool, Zeiss and the SEMATECH EUVL Mask Infrastructure consortium started a development programme for an EUV aerial image metrology system (AIMS™ EUV). In this paper, we discuss the status of the on-going system integration and show first results from the first light tests of the prototype tool.


Proceedings of SPIE | 2014

Update on the SEMATECH 0.5 NA Extreme-Ultraviolet Lithography (EUVL) Microfield Exposure Tool (MET)

Kevin Cummings; Dominic Ashworth; Mark Bremer; Rodney Chin; Yu-Jen Fan; Luc Girard; Holger Glatzel; Michael Goldstein; Eric M. Gullikson; Jim Kennon; Bob Kestner; Lou Marchetti; Patrick P. Naulleau; Regina Soufli; Johannes Bauer; Markus Mengel; Joachim Welker; Michael Grupp; Erik Sohmen; Stefan Wurm

In support of the Extreme Ultraviolet Lithography (EUVL) roadmap, a SEMATECH/CNSE joint program is underway to produce multiple EUVL (wavelength of 13.5 nm) R&D photolithography tools. The 0.5 NA projection optic magnification (5X), track length and mechanical interfaces match the currently installed 0.3 NA micro-field exposure tools (MET) projection optic [1] [2] [3]. Therefore, significant changes to the current tool platforms and other adjacent modules are not necessary. However, many of the existing systems do need upgrades to achieve the anticipated smaller exposure feature sizes [4]. To date we have made considerable progress in the production of the first of the two-mirror 0.5 NA projection optics for EUVL [5]. With a measured transmitted wave front error of less than 1 nm root mean square (RMS) over its 30 μm × 200 μm image field, lithography modeling shows that a predicted resolution of ≤12 nm and an ultimate resolution of 8 nm (with extreme dipole illumination) will be possible. This paper will present an update from the 0.5 NA EUVL program. We will detail the more significant activities that are being undertaken to upgrade the MET and discuss expected performance.


Photomask Technology 2014 | 2014

AIMS EUV first light imaging performance

Anthony Garetto; Renzo Capelli; Krister Magnusson; Jan Hendrik Peters; Sascha Perlitz; Ulrich Matejka; Dirk Hellweg; Markus Weiss; Michael Goldstein

Overcoming the challenges associated with photomask defectivity is one of the key aspects associated with EUV mask infrastructure. In addition to establishing specific EUV mask repair approaches, the ability to identify printable mask defects that require repair as well as to verify if a repair was successful are absolutely necessary. Such verification can only be performed by studying the repaired region using actinic light at an exact emulation of the scanner illumination conditions of the mask as can be done by the AIMSTM EUV. ZEISS, in collaboration with the SEMATECH EUVL Mask Infrastructure (EMI) consortium are currently developing the AIMSTM EUV system and have recently achieved First Light on the prototype system, a major achievement. First light results will be presented in addition to the current development status of the system.


Photomask Technology 2013 | 2013

EUV scatterometry-based measurement method for the determination of phase roughness

Rikon Chao; Eric M. Gullikson; Michael Goldstein; Frank Goodwin; Ranganath Teki; Andrew R. Neureuther; Patrick P. Naulleau

AFM-based roughness measurement reveals the topography of EUV masks, but is only sensitive to the top surface [1]. Scatterometry provides a more accurate approach to characterize the effective phase roughness of the multilayer, and it becomes important to determine the valid metrology for roughness characterization. In this work, the power spectral density calculated from scatterometry is compared to that from AFM for measurements before and after coating of substrates with a range of roughness levels. Results show noticeable discrepancies between AFM- and scatterometrymeasured roughness, and indicates that when the physical surface roughness increases with deposition the EUV penetration into the multilayer tends to mitigate this effect. In this paper, we describe an EUV scatterometry-based measurement method for the determination of phase roughness with the goal of minimizing the amount of physical scattering data to be collected and rendering the method compatible with potential future standalone EUV reflectometer tools.


Proceedings of SPIE | 2014

Projection optics for EUVL micro-field exposure tools with 0.5 NA

Holger Glatzel; Dominic Ashworth; Dan Bajuk; Matt Bjork; Mark Bremer; Mark Cordier; Kevin Cummings; Luc Girard; Michael Goldstein; Eric M. Gullikson; Samuel Hardy; Russ Hudyma; James Kennon; Robert Kestner; Lou Marchetti; Keyvan Nouri; Patrick P. Naulleau; Daniel Pierce; Regina Soufli; Yogesh Verma

In last year’s report, we discussed the design and requirements of the optical projection module (Projection Optics Box [POB]) for the 0.5-NA Micro-field Exposure Tool (MET5) and the resulting challenges. Over the course of this past year, we have completed and fully qualified the metrology of individual mirrors. All surface figure errors have been measured over seven orders of magnitude with spatial periods ranging from the full clear aperture down to 10 nm. The reproducibility of the full aperture tests measures 16 pm RMS for the M1 test and 17 pm for the M2 test with a target of 30 pm for both tests. Furthermore, we achieved excellent results on scatter and flare: For scatter, both mirrors perform about a factor of two below specification. For flare, the larger M2 mirror performs well within and the smaller M1 mirror about a factor of two below specification. In addition, we have developed processes for correcting surface figure errors for both mirrors and have successfully demonstrated high-reflectivity coatings on pathfinder mirrors. Further, we have achieved significant goals with respect to the design, assembly, metrology and alignment of the projection module. This paper reviews this progress and describes the next step in the ambitious MET5 POB development program.


Journal of Micro-nanolithography Mems and Moems | 2014

Defect mitigation considerations for EUV photomasks

Anthony Garetto; Renzo Capelli; Frederik Blumrich; Krister Magnusson; Markus Waiblinger; Thomas Scheruebl; Jan Hendrik Peters; Michael Goldstein

Abstract. The introduction of extreme ultraviolet (EUV) lithography into manufacturing requires changes in all aspects of the infrastructure, including the photomask. EUV reflective masks consist of a sophisticated multilayer (ML) mirror, capping layer, absorber layer, and anti-reflective coating thereby dramatically increasing the complexity of the photomask. In addition to absorber type defects similar to those the industry was forced to contend with for deep ultraviolet lithography, the complexity of the mask leads to new classes of ML defects. Furthermore, these approaches are complicated not only by the mask itself but also by unique aspects associated with the exposure of the photomask by the EUV scanner. This paper focuses on the challenges for handling defects associated with inspection, review, and repair for EUV photomasks. Blank inspection and pattern shifting, two completely new steps within the mask manufacturing process that arise from these considerations, and their relationship to mask review and repair are discussed. The impact of shadowing effects on absorber defect repair height is taken into account. The effect of mask biasing and the chief ray angle rotation due to the scanner slit arc shape will be discussed along with the implications of obtaining die-to-die references for inspection and repair. The success criteria for compensational repair of ML defects will be reviewed.


Optics Express | 2012

Actinic microscope for extreme ultraviolet lithography photomask inspection and review

Michael Goldstein; Patrick P. Naulleau

Two dual-configuration extreme ultraviolet (EUV, 13.5nm wavelength) optical designs are described as a means to overcome principal EUV photomask metrology challenges. Semiconductor industry-wide efforts to define performance requirements and create standalone tools that can be used to discover, review, and accurately locate phase, amplitude, and mask pattern defects are described. The reference designs co-optimize low and high magnification configurations for orthogonal chief ray planes to avoid inspection and review trade-offs and emulate the aerial image of a lithography scanner.

Collaboration


Dive into the Michael Goldstein's collaboration.

Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Eric M. Gullikson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Regina Soufli

Lawrence Livermore National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge