Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Dong-gun Lee is active.

Publication


Featured researches published by Dong-gun Lee.


Proceedings of SPIE | 2017

Progress in EUV lithography toward manufacturing

Seong-Sue Kim; Roman Chalykh; Hoyeon Kim; Seung-Koo Lee; Chang-min Park; Myung-soo Hwang; Joo-On Park; Jinhong Park; Hocheol Kim; Jinho Jeon; Insung Kim; Dong-gun Lee; Jihoon Na; Jungyeop Kim; Siyong Lee; Hyun-woo Kim; Seok-Woo Nam

In this article the recent progress in the elements of EUV lithography is presented. Source power around 205W was demonstrated and further scaling up is going on, which is expected to be implemented in the field within 2017. Source availability keeps improving especially due to the introduction of new droplet generator but collector lifetime needs to be verified at each power level. Mask blank defect satisfied the HVM goal. Resist meets the requirements of development purposes and dose needs to be reduced further to satisfy the productivity demand. Pellicle, where both the high transmittance and long lifetime are demanded, needs improvements especially in pellicle membrane. Potential issues in high-NA EUV are discussed including resist, small DOF, stitching, mask infrastructure, whose solutions need to be prepared timely in addition to high-NA exposure tool to enable this technology.


Optics Express | 2014

Electro-optical system for scanning microscopy of extreme ultraviolet masks with a high harmonic generation source

Patrick P. Naulleau; Christopher N. Anderson; Erik H. Anderson; Nord Andreson; Weilun Chao; Chang-Hoon Choi; Kenneth A. Goldberg; Eric M. Gullikson; Seong-Sue Kim; Dong-gun Lee; Ryan Miyakawa; Jongju Park; Seno Rekawa; Farhad Salmassi

A self-contained electro-optical module for scanning extreme ultraviolet (EUV) reflection microscopy at 13.5 nm wavelength has been developed. The system has been designed to work with stand-alone commercially available EUV high harmonic generation (HHG) sources through the implementation of narrowband harmonic selecting multilayers and off-axis elliptical short focal length zoneplates. The module has been successfully integrated into an EUV mask scanning microscope achieving diffraction limited imaging performance (84 nm point spread function).


Japanese Journal of Applied Physics | 2004

Correction of Critical Dimension Uniformity on a Wafer by Controlling Back-Surface Transmittance Distribution of a Photomask

Jong Rak Park; Soon Ho Kim; Jin-Hong Park; Dong-gun Lee; Seong-Yoon Kim; Byung-Cheol Cha; Sung-Woon Choi

We report on a new method for correcting the critical dimension (CD) uniformity on a wafer, using a transmittance-controlled photomask with phase patterns on the back. Theoretical analysis of changes in the illumination-pupil shape with respect to the variation of the size and density of the back-surface 180°-phase contact-hole patterns and experimental results using the transmittance-controlled photomask are presented. It is shown that pattern size on the back of the photomask must be made as small as possible in order to keep the illumination-pupil shape as close as possible to the original one and to achieve as large an illumination intensity drop as possible at the same pattern density. It is demonstrated that the method is very effective for correcting the CD nonuniformity on a wafer that is induced by both CD nonuniformity of the photomask and flare in the exposure tool.


Photomask and Next-Generation Lithography Mask Technology XXI | 2014

Extreme ultraviolet mask roughness: requirements, characterization, and modeling

Patrick P. Naulleau; Suchit Bhattaria; Rick Chao; Rene A. Claus; Kenneth A. Goldberg; Frank Goodwin; Eric M. Gullikson; Dong-gun Lee; Andrew R. Neureuther; Jongju Park

It is now well established that extremely ultraviolet (EUV) mask multilayer roughness can lead to wafer-plane line-edge roughness (LER) in lithography tools. It is also evident that this same effect leads to sensor plane variability in inspection tools. This is true for both patterned mask and mask blank inspection. Here we evaluate mask roughness specifications explicitly from the actinic inspection perspective. The mask roughness requirement resulting from this analysis are consistent with previously described requirements based on lithographic LER. In addition to model-based analysis, we also consider the characterization of multilayer mask roughness and evaluate the validity of using atomic force microscopy (AFM) based measurements by direct comparison to EUV scatterometry measurements as well as aerial image measurements on a series of high quality EUV masks. The results demonstrate a significant discrepancy between AFM results and true EUV roughness as measured by actinic scattering.


Japanese Journal of Applied Physics | 2012

Effect on Critical Dimension Performance for Carbon Contamination of Extreme Ultraviolet Mask Using Coherent Scattering Microscopy and In-situ Contamination System

Jonggul Doh; Sangsul Lee; Jaewook Lee; Seongchul Hong; Chang Young Jeong; Dong-gun Lee; Seong-Sue Kim; Jinho Ahn

The impact of carbon contamination on imaging performance was analyzed using an in-situ accelerated contamination system (ICS) combined with coherent scattering microscopy (CSM) which was installed at 11B extreme ultraviolet lithography (EUVL) beamline of the Pohang Accelerator Laboratory (PAL). The CSM/ICS is composed of CSM for measuring imaging properties and ICS for implementing acceleration of carbon contamination. The mask critical dimension (CD) and reflectivity were compared before and after carbon contamination through accelerated exposure. The reflectivity degradation was measured as 1.3, 2.0, and 2.8% after 1, 2, and 3 h exposure, respectively, due to carbon contamination of 5, 10, and 20 nm as measured by Zygo interferometer. The mask CD change for 88 nm line and space pattern was analyzed using CSM and a CD scanning electron microscope (SEM), and the result shows CD-SEM and CSM give large difference of 3.8 times in mask ΔCD after carbon contamination. This difference confirms the importance of using actinic inspection technique that employs exactly the same imaging condition as exposure tool.


Korean Journal of Optics and Photonics | 2007

Application of Transmittance-Controlled Photomask Technology to ArF Lithography

Dong-gun Lee; Jong-Rak Park

We report theoretical and experimental results for application of transmittance-controlled photomask technology to ArF lithography. The transmittance-controlled photomask technology is thought to be a promising technique fo critical dimension (CD) uniformity correction on a wafer by use of phase patterns on the backside of a photomask. We could theoretically reproduce experimental results for illumination intensity drop with respect to the variation of backside phase patterns by considering light propagation from the backside to the front side of a photomask at the ArF lithography wavelength. We applied the transmittance-controlled photomask technology to ArF lithography for a critical layer of DRAM (Dynamic Random Access Memory) having a 110-nm design rule and found that the in-field CD uniformity value was improved from 13.8 nm to 9.7 nm in .


Proceedings of SPIE | 2017

Application of actinic mask review system for the preparation of HVM EUV lithography with defect free mask

Jihoon Na; Dong-gun Lee; Changhwan Do; Hong-seok Sim; Jung-Hwan Lee; Jungyoup Kim; Hwan-Seok Seo; Hee-Bom Kim; Chan Uk Jeon

We introduce an extreme ultraviolet lithography (EUVL) mask defect review system (EMDRS) which has been developing in SAMUSNG. It applies a stand-alone high harmonic generation (HHG) EUV source as well as simple EUV optics consisting of a folding mirror and a zoneplate. The EMDRS has been continuously updated and utilized for various applications regarding defect printability in EUVL. One of the main roles of the EMDRS is to verify either mask repair or mask defect avoidance (MDA) by actinic reviews of defect images before and after the process. Using the MDA, small phase defects could be hidden below absorber patterns, but it is very challenging in case of layouts with high density patterns. The EMDRS clearly verify the success of the MDA while conventional SEM could not detect the images. In addition, we emulate images of the sub-resolution assist features (SRAFs) by the EMDRS and compared them with the wafer exposure results.


Photomask Technology | 2017

EUV mask readiness for HVM (Conference Presentation)

Hee-Bom Kim; Chang Young Jeong; Dong-gun Lee; Ji Hoon Na; Hwan-Seok Seo; Mun Ja Kim; Sung-Won Kwon; Chan-Uk Jeon; Emily E. Gallagher; Peter D. Buck

Currently, we are supplying defect-free EUV mask for device development. This was one of the biggest challenges in the implementation of EUV lithography for high volume manufacturing (HVM). It became possible to hide all multi-layer defects by using defect avoidance technique through improvement of blank mask defectivity and development of actinic blank inspection tool. In addition, EUV pellicle is also considered as a requisite to guarantee predictable yield. Both development of mask shop tools and preparation of EUV scanner for pellicle are going well. However, still membrane needs to be much improved in terms of transmittance and robustness for HVM. At the conference, EUV mask readiness for HVM will be discussed including blank defect improvement, preparation of actinic tools and pellicle development.


Archive | 2016

EUV Research at Berkeley Lab: Enabling Technologies and Applications

Patrick P. Naulleau; Christopher N. Anderson; Weilun Chao; Peter Fischer; Kenneth A. Goldberg; Eric M. Gullikson; Ryan Miyakawa; Seong-Sue Kim; Dong-gun Lee; Jongju Park

The tremendous progress in the development and deployment of lab scale extreme ultraviolet (EUV) sources over the past decade has opened up the door to a wide variety of new users beyond the traditional synchrotron community. The practical use of such sources, however, is heavily dependent on the availability of EUV optical components. In this manuscript, we describe recent advances at Berkeley Lab in the development of reflective and diffractive optical structures for imaging, wavefront encoding, metrology, spectral filtering, and more.


Archive | 2006

Photomask, method of making a photomask and photolithography method and system using the same

Sungmin Huh; Hee-Bom Kim; Dong-gun Lee; Chan-Uk Jeon

Collaboration


Dive into the Dong-gun Lee's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Eric M. Gullikson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge