Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Edita Tejnil is active.

Publication


Featured researches published by Edita Tejnil.


Journal of Micro-nanolithography Mems and Moems | 2013

Impact of 14-nm photomask uncertainties on computational lithography solutions

John L. Sturtevant; Edita Tejnil; Timothy Lin; Steffen Schulze; Peter Buck; Franklin D. Kalk; Kent H. Nakagawa; Guoxiang Ning; Paul Ackmann; Fritz Gans; Christian Buergel

Abstract. Computational lithography solutions rely upon accurate process models to faithfully represent the imaging system output for a defined set of process and design inputs. These models rely upon the accurate representation of multiple parameters associated with the scanner and the photomask. Many input variables for simulation are based upon designed or recipe-requested values or independent measurements. It is known, however, that certain measurement methodologies, while precise, can have significant inaccuracies. Additionally, there are known errors associated with the representation of certain system parameters. With shrinking total critical dimension (CD) control budgets, appropriate accounting for all sources of error becomes more important, and the cumulative consequence of input errors to the computational lithography model can become significant. In this work, we examine via simulation the impact of errors in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD bias values are based on state-of-the-art mask manufacturing data, and other variable changes are speculated, highlighting the need for improved metrology and communication between mask and optical proxmity correction model experts. The simulations are done by ignoring the wafer photoresist model and show the sensitivity of predictions to various model inputs associated with the mask. It is shown that the wafer simulations are very dependent upon the one-dimensional/two-dimensional representation of the mask, and for three-dimensional, the mask sidewall angle is a very sensitive factor influencing simulated wafer CD results.


Proceedings of SPIE | 2013

The impact of 14-nm photomask uncertainties on computational lithography solutions

John L. Sturtevant; Edita Tejnil; Tim Lin; Steffen Schultze; Peter Buck; Franklin D. Kalk; Kent H. Nakagawa; Guoxiang Ning; Paul Ackmann; Fritz Gans; Christian Buergel

Computational lithography solutions rely upon accurate process models to faithfully represent the imaging system output for a defined set of process and design inputs. These models, which must balance accuracy demands with simulation runtime boundary conditions, rely upon the accurate representation of multiple parameters associated with the scanner and the photomask. While certain system input variables, such as scanner numerical aperture, can be empirically tuned to wafer CD data over a small range around the presumed set point, it can be dangerous to do so since CD errors can alias across multiple input variables. Therefore, many input variables for simulation are based upon designed or recipe-requested values or independent measurements. It is known, however, that certain measurement methodologies, while precise, can have significant inaccuracies. Additionally, there are known errors associated with the representation of certain system parameters. With shrinking total CD control budgets, appropriate accounting for all sources of error becomes more important, and the cumulative consequence of input errors to the computational lithography model can become significant. In this work, we examine with a simulation sensitivity study, the impact of errors in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD Bias values are based on state of the art mask manufacturing data and other variables changes are speculated, highlighting the need for improved metrology and awareness.


Proceedings of SPIE | 2008

Advanced mask process modeling for 45-nm and 32-nm nodes

Edita Tejnil; Yuanfang Hu; Emile Sahouria; Steffen Schulze; Ming Jing Tian; Eric Guo

As tolerance requirements for the lithography process continue to shrink with each new technology node, the contributions of all process sequence steps to the critical dimension error budgets are being closely examined, including wafer exposure, resist processing, pattern etch, as well as the photomask process employed during the wafer exposure. Along with efforts to improve the mask manufacturing processes, the elimination of residual mask errors via pattern correction has gained renewed attention. The portfolio of correction tools for mask process effects is derived from well established techniques commonly used in optical proximity correction and in electron beam proximity effect compensation. The process component that is not well captured in the correction methods deployed in mask manufacturing today is etch. A mask process model to describe the process behavior and to capture the physical effects leading to deviation of the critical dimension from the target value represents the key component of model-based correction and verification. This paper presents the flow for generating mask process models that describe both shortrange and long-range mask process effects, including proximity loading effects from etching, pattern density loading effects, and across-mask process non-uniformity. The flow is illustrated with measurement data from real test masks. Application of models for both mask process correction and verification is discussed.


Proceedings of SPIE | 2008

SEM-contour based mask modeling

Jim Vasek; Edita Tejnil; Ir Kusnadi; Ofer Lindman; Ovadya Menadeva; Ram Peltinov

With the push toward the 32nm node, OPC modeling must respond in kind with additional accuracy enhancements. One area of lithographic modeling that has basically gone unchecked is mask fidelity. Mask linearity is typically built into the OPC model since the calibration data contain this information, but mask pattern fidelity is almost impossible to quantify for OPC modeling. Mask fidelity is the rounding and smoothing of the mask features relative to the post-OPC layout intent, and there is no robust metric available to quantify these effects. With the introduction of contour-based model calibration, mask fidelity modeling is possible. This work evaluates techniques to quantify mask modeling and methods to gauge the accuracy improvement that mask fidelity modeling would project into the lithographic process using contour-based mask model calibration.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Characterizing OPC model accuracy versus lens induced polarization effects in hyper NA immersion lithography

Tamer M. Tawfik; Edita Tejnil

Immersion lithography is extending the lifetime of optical lithography by enabling numerical aperture (NA) greater than unity. Along with scanner hardware improvements, modeling of hyper-NA lithography systems for optical proximity correction (OPC) is also continuing to be necessary in improving photolithography capability. With the use of hyper-NA immersion lithography and polarized illumination, the assumption of scalar optical pupil in optical system modeling may no longer be valid. To fully describe the transmission of any polarization state through the optical system, Jones matrix is necessary. It has been shown that Jones matrix can be described as a combination of apodization loss, birefringence, diattenuation, scalar phase aberrations, and rotation effects. In this work, the impact of such effects on calibration and accuracy of OPC models is characterized in terms of the model fit quality, model predictability, and changes to OPC results.


Journal of Micro-nanolithography Mems and Moems | 2016

Accurate, full-chip, three-dimensional electromagnetic field model for non-Manhattan mask corners

Michael C. Lam; Chris Clifford; Mike Oliver; David Fryer; Edita Tejnil; Kostas Adam

Abstract. The physical process of mask manufacturing produces absorber geometry with significant deviations from the 90-deg corners, which are typically assumed in the mask design. The non-Manhattan mask geometry is an essential contributor to the aerial image and resulting patterning performance through focus. Current state-of-the-art models for corner rounding employ “chopping” a 90-deg mask corner, replacing the corner with a small 45-deg edge. A methodology is presented to approximate the impact of three-dimensional (3-D) EMF effects introduced by corners with rounded edges. The approach is integrated into a full-chip 3-D mask simulation methodology based on the domain decomposition method with edge to edge crosstalk correction.


30th European Mask and Lithography Conference | 2014

14-nm photomask simulation sensitivity

John L. Sturtevant; Peter Buck; Steffen Schulze; David Fryer; Edita Tejnil; Kostas Adam; Michael Lam; Chris Clifford; Mike Oliver; Ana Armeanu; Franklin D. Kalk; Kent H. Nakagawa; Guoxiang Ning; Paul Ackmann; Fritz Gans; Christian Buergel

This study quantifies the impact of systematic mask errors on OPC model accuracy and proposes a methodology to reconcile the largest errors via calibration to the mask error signature in wafer data. First, we examine through simulation, the impact of uncertainties in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD bias values are based on state of the art mask manufacturing data while other variable values are speculated, highlighting the need for improved metrology and communication between mask and OPC model experts. It is shown that the wafer simulations are highly dependent upon the 1D/2D representation of the mask, in addition to the mask sidewall for 3D mask models. In addition, this paper demonstrates substantial accuracy improvements in the 3D mask model using physical perturbations of the input mask geometry when using Domain Decomposition Method (DDM) techniques. Results from four test cases demonstrate that small, direct modifications in the input mask stack slope and edge location can result in model calibration and verification accuracy benefit of up to 30%. We highlight the benefits of a more accurate description of the 3D EMF near field with crosstalk in model calibration and impact as a function of mask dimensions. The result is a useful technique to align DDM mask model accuracy with physical mask dimensions and scattering via model calibration.


Proceedings of SPIE | 2012

OPC model prediction capability improvements by accounting for mask 3D-EMF effects

Jacky Cheng; Jessy Schramm; Dong Qing Zhang; Yee Mei Foong; Christian Zuniga; Thuy Do; Edita Tejnil; John L. Sturtevant; Angeline Chung; Kenneth Jantzen

As mask feature sizes have shrunk well below the exposure wavelength, the thin mask of Kirchhoff approximation breaks down and 3D mask effects contribute significantly to the through-focus CD behavior of specific features. While full-chip rigorous 3D mask modeling is not computationally feasible, approximate simulation methods do enable the 3D mask effects to be represented. The use of such approximations improves model prediction capability. This paper will look at a 28nm darkfield and brightfield layer datasets that were calibrated with a Kirchhoff model and with two different 3D-EMF models. Both model calibration accuracy and verification fitness improvements are realized with the use of 3D models.


Proceedings of SPIE | 2011

Influence of the illumination source on model-based SRAF placement

Rachit Gupta; Aasutosh Dave; Edita Tejnil; Srividya Jayaram; Pat LaCour

Sub-Resolution Assist Features (SRAFs) have been extensively used to improve the process margin for isolated and semi-isolated features. It has been shown that compared to rule-based SRAFs, model-based placement of SRAFs can result in better overall process window. Various model-based approaches have been reported to affect SRAF placements. Even with model-based solutions, the complexity of two-dimensional layouts results in SRAF placement conflicts, producing numerous challenges to optimal SRAF placement for each pattern configuration. Furthermore, tuning of SRAF placement algorithms becomes challenging with varying patterns and sources [1-3]. Recently, pixelated source in optical lithography has become the subject of increased exploration to enable 22/20 nm technology nodes and beyond. Optimization of the illumination shape, including free-form pixelated sources, has shown performance gains, compared to standard source shapes [4-6]. This paper will demonstrate the influence of such different free-form sources as well as conventional sources on model-based SRAF placement. Typically in source optimization, the selection of the optimization patterns is exigent since it drives the source solution. Small differences in the selected patterns produce subtle changes in the optimized source shapes. It has also been previously reported that SRAF placements are significantly dependent on the illumination [1]. In this paper, the impact of changes in the design and/or source optimization patterns on the optimized source and hence on the SRAF placement is reported. Variations in SRAF placements will be quantified as a function of change in the free-form sources. Lithographic performance of the different SRAF placement schema will be verified using simulation.


Proceedings of SPIE | 2017

Application of optical similarity in OPC model calibration

Edita Tejnil

The ability to calibrate optical proximity correction (OPC) models accurately and efficiently is desired to minimize the lithography process development time. To compare layout features used for lithography process model calibration, the concept of optical similarity is introduced that is derived from the optical intensity used in OPC models. The optical similarity analysis is based on comparing contributions to the overall intensity from the different optical kernels. Optical similarity is applied in comparing individual features as well as in the analysis of pattern coverage between sets of features used in calibration of models for OPC. A method for selecting features for calibration from a larger set of features is described. A systematic approach to apply relative weights to different calibration features in order to improve model fit on complex verification data is also presented. This systematic approach to feature comparisons and pattern coverage derived from optical properties is demonstrated on numerous examples from production lithography. The methods presented here can improve the feature selection process for model calibration to ensure pattern coverage relative to full chip layout and hence improve the overall OPC model quality.

Collaboration


Dive into the Edita Tejnil's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge