Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Franklin D. Kalk is active.

Publication


Featured researches published by Franklin D. Kalk.


1994 International Symposium on Optical Interference Coatings | 1994

Optical analysis of complex multilayer structures using multiple data types

Blaine D. Johs; Roger H. French; Franklin D. Kalk; William A. McGahan; John A. Woollam

Variable angle of incidence spectroscopic ellipsometry (VASE) is commonly used for multilayer optical analysis, but in some cases this experiment (performed in reflection) does not provide sufficient information for the unique determination of the thicknesses and optical constants of the films in the given multilayer. We have found that augmenting the VASE data with data from other optical experiments greatly increases the amount of information which can be obtained for multilayers, particularly when they are deposited on transparent substrates. In this work, we describe a formalism which allows us to quantitatively characterize complex multilayer structures by using combined reflection and transmission ellipsometry, reflection ellipsometry with the sample flipped over, and intensity transmission measurements. To demonstrate the usefulness of this capability, the analysis of a complex graded, absorbing thin film structure (a Cr-based phase-shifting photomask blank), is presented.


Photomask and X-Ray Mask Technology | 1994

Attenuated phase-shifting photomasks fabricated from Cr-based embedded shifter blanks

Franklin D. Kalk; Roger H. French; H. Ufuk Alpay; Greg P. Hughes

I-line (365 nm) and G-line (436 nm) attenuated phase shifting photomasks have been developed using single layer Cr-based photoblanks. The absorber layer has a composition gradient that allows the desired transmission to be tuned while maintaining control over reflectivity and phase shift. These photoblanks are manufactured in existing facilities, and masks are processed much like conventional opaque Cr-based materials. They can be inspected and repaired on current equipment with slight modifications. Printing has been demonstrated on current generation steppers. Deep UV extendability of these materials is also being studied, with a 5% Deep UV (248 nm) single layer photoblank chemistry already demonstrated.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Optical issues of thin organic pellicles in 45-nm and 32-nm immersion lithography

Kevin Lucas; Joseph S. Gordon; Will Conley; Mazen Saied; Scott Warrick; Mike Pochkowski; Mark D. Smith; Craig A. West; Franklin D. Kalk; Jan Pieter Kuijten

The semiconductor industry will soon be putting >=1.07NA 193nm immersion lithography systems into production for the 45nm device node and in about three years will be putting >=1.30NA systems into production for the 32nm device node. For these very high NA systems, the maximum angle of light incident on a 4X reticle will reach ~16 degrees and ~20 degrees for the 45nm and 32nm nodes respectively. These angles can no longer be accurately approximated by an assumption of normal incidence. The optical diffraction and thin film effects of high incident angles on the wafer and on the photomask have been studied by many different authors. Extensive previous work has also investigated the impact of high angles upon hard (e.g., F-doped silica) thick (>700μm) pellicles for 157nm lithography, e.g.,. However, the interaction of these high incident angles with traditional thin (< 1μm) organic pellicles has not been widely discussed in the literature. In this paper we analyze the impact of traditional thin organic pellicles in the imaging plane for hyper-NA immersion lithography at the 45nm and 32nm nodes. The use of existing pellicles with hyper-NA imaging is shown to have a definite negative impact upon lithographic CD control and optical proximity correction (OPC) model accuracy. This is due to the traditional method of setting organic pellicle thickness to optimize normally incident light transmission intensity. Due to thin film interference effects with hyper-NA angles, this traditional pellicle optimization method will induce a loss of high spatial frequency (i.e., high transmitted angle) intensity which is similar in negative impact to a strong lens apodization effect. Therefore, using simulation we investigate different pellicle manufacturing options (e.g., multi-layer pellicle films) and OPC modeling options to reduce the high spatial frequency loss and its impact.


Journal of Micro-nanolithography Mems and Moems | 2013

Impact of 14-nm photomask uncertainties on computational lithography solutions

John L. Sturtevant; Edita Tejnil; Timothy Lin; Steffen Schulze; Peter Buck; Franklin D. Kalk; Kent H. Nakagawa; Guoxiang Ning; Paul Ackmann; Fritz Gans; Christian Buergel

Abstract. Computational lithography solutions rely upon accurate process models to faithfully represent the imaging system output for a defined set of process and design inputs. These models rely upon the accurate representation of multiple parameters associated with the scanner and the photomask. Many input variables for simulation are based upon designed or recipe-requested values or independent measurements. It is known, however, that certain measurement methodologies, while precise, can have significant inaccuracies. Additionally, there are known errors associated with the representation of certain system parameters. With shrinking total critical dimension (CD) control budgets, appropriate accounting for all sources of error becomes more important, and the cumulative consequence of input errors to the computational lithography model can become significant. In this work, we examine via simulation the impact of errors in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD bias values are based on state-of-the-art mask manufacturing data, and other variable changes are speculated, highlighting the need for improved metrology and communication between mask and optical proxmity correction model experts. The simulations are done by ignoring the wafer photoresist model and show the sensitivity of predictions to various model inputs associated with the mask. It is shown that the wafer simulations are very dependent upon the one-dimensional/two-dimensional representation of the mask, and for three-dimensional, the mask sidewall angle is a very sensitive factor influencing simulated wafer CD results.


Proceedings of SPIE | 2014

Critical assessment of the transport of intensity equation as a phase recovery technique in optical lithography

Aamod Shanker; Martin Sczyrba; Brid Connolly; Franklin D. Kalk; Andrew R. Neureuther; Laura Waller

Photomasks are expected to have phase effects near edges due to their 3D topography, which can be modeled as imaginary boundary layers in thin mask simulations. We apply a modified transport of intensity (TIE) phase imaging technique to through-focus aerial images of photomasks in order to recover polarization-dependent edge effects. We use AIMS measurements with 193nm light to study the dependence of recovered phase on mask type and geometry. The TIE is an intensity conservation equation that quantitatively relates phase in the wafer plane to intensity through-focus. Here, we develop a modified version of the TIE for strongly absorbing objects, and apply it to recover wafer plane phase of attenuating masks. The projection printer blurs the fields at the wafer plane by its point spread function, hence an effective deconvolution is used to predict the boundary layers at the mask that best approximate the measured thick mask edge effects. Computation required for the inverse problem is fast and independent of mask geometry, unlike FDTD computations.


Proceedings of SPIE | 2013

The impact of 14-nm photomask uncertainties on computational lithography solutions

John L. Sturtevant; Edita Tejnil; Tim Lin; Steffen Schultze; Peter Buck; Franklin D. Kalk; Kent H. Nakagawa; Guoxiang Ning; Paul Ackmann; Fritz Gans; Christian Buergel

Computational lithography solutions rely upon accurate process models to faithfully represent the imaging system output for a defined set of process and design inputs. These models, which must balance accuracy demands with simulation runtime boundary conditions, rely upon the accurate representation of multiple parameters associated with the scanner and the photomask. While certain system input variables, such as scanner numerical aperture, can be empirically tuned to wafer CD data over a small range around the presumed set point, it can be dangerous to do so since CD errors can alias across multiple input variables. Therefore, many input variables for simulation are based upon designed or recipe-requested values or independent measurements. It is known, however, that certain measurement methodologies, while precise, can have significant inaccuracies. Additionally, there are known errors associated with the representation of certain system parameters. With shrinking total CD control budgets, appropriate accounting for all sources of error becomes more important, and the cumulative consequence of input errors to the computational lithography model can become significant. In this work, we examine with a simulation sensitivity study, the impact of errors in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD Bias values are based on state of the art mask manufacturing data and other variables changes are speculated, highlighting the need for improved metrology and awareness.


14th Annual BACUS Symposium on Photomask Technology and Management | 1994

Chromium-based attenuated embedded shifter preproduction

Franklin D. Kalk; Roger H. French; H. Ufuk Alpay; Greg P. Hughes

Attenuated embedded phase shifting photomask technology can improve lithography performance for both g-line and i-line steppers. Emphasis at i-line is shifting from development to production as lithographers integrate phase shifting masks into their processes. This paper describes pilot production of i-line and g-line, Cr-based, attenuated embedded phase shifter photoblanks and photomasks.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Design, reticle, and wafer OPC manufacturability for the 0.18-μm lithography generation

Kevin D. Lucas; Martin McCallum; Bradley J. Falch; James L. Wood; Franklin D. Kalk; Robert K. Henderson; Drew R. Russell

2D optical proximity correction (OPC) will be a requirement for patterning the 0.18 micrometers lithographic generation with current 0.6NA 248nm wavelength toolsets. This paper analyzes the process transformation of 2D OPC shapes between the design, reticle and wafer stages of patterning 0.18 micrometers random logic circuits. High resolution reticle SEM photos showcase reticle patterning non-linearities which must be understood to fully optimize OPC designs. Experiment and tuned lithography simulation are used to highlight the errors which can occur if these non-linearities are ignored. Significant differences are observed between OPC shapes for brightfield and darkfield features. Comparisons between OPC shapes patterned on electron-beam and optical-laser reticle writing tools are also provided as is a look ahead to the OPC requirements of the 0.15 micrometers generation.


Integrated Circuit Metrology, Inspection, and Process Control IX | 1995

Metrology of etched quartz and chrome embedded phase shift gratings using scatterometry

Susan M. Wilson; S. Sohail H. Naqvi; John Robert McNeil; Herschel M. Marchman; Blaine D. Johs; Roger H. French; Franklin D. Kalk

Metrology of etched quartz and chrome embedded phase shift gratingsusing scatterometrySusan M. Gaspar Wilson, S. Sohail H. Naqvi, John R. McNeilCenter for High Technology MaterialsThe University of New MexicoAlbuquerque, New Mexico 87131Herschel M. MarchmanAT&T Bell LabsMurray Hills, New Jersey 07974Blame JohsJ.A. Woollam Co., Inc.650 J. Street, Suite 39Lincoln, Nebraska 68508Roger H. FrenchDuPont Central Science and EngineeringWilmington, Delaware 19880Franklin D. KalkDuPont Photomasks, Inc.100 Texas AvenueRound Rock, Texas 78664


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Optical lithography simulation and photoresist optimization for photomask fabrication

Benjamen M. Rathsack; Cyrus E. Tabery; Steven Scheer; Mike Pochkowski; Cecilia E. Philbin; Franklin D. Kalk; Clifford L. Henderson; Peter D. Buck; C. Grant Willson

The demand for smaller and more uniform features on photomasks is rapidly increasing. The complexity of these patterns is also increasing with the need for optical proximity correction and phase shifting structures. These complex mask features demand unprecedented accuracy in pattern placement and dimensional control. We have conducted research designed to optimize the process for laser pattern generation by improving resolution and process latitude. Lithographic simulation was utilized for process optimization because of the very high cost of mask patterning and metrology experiments.

Collaboration


Dive into the Franklin D. Kalk's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Roger H. French

Case Western Reserve University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge