Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Gangadhara Raja Muthinti is active.

Publication


Featured researches published by Gangadhara Raja Muthinti.


Journal of Applied Physics | 2012

Effects of stress on the dielectric function of strained pseudomorphic Si1−xGex alloys from 0 to 75% Ge grown on Si (001)

Gangadhara Raja Muthinti; Manasa Medikonda; Thomas N. Adam; Alain C. Diebold

The dielectric function of bi-axially strained, intrinsic, and pseudomorphic Si1−xGex alloys was measured at room temperature using spectroscopic ellipsometry from 0.74 eV to 5.06 eV. Un-doped Si1−xGex with germanium compositions ranging from 0 to 75% was grown on Si (001) using chemical vapor deposition. High resolution x-ray diffraction was used to confirm sample composition, thickness, and strain. X-ray relaxation scans showed that all the samples were fully strained. All the alloy films in this study have low values of surface roughness, which allowed determination of the dielectric function. The presence of strain in the Si1−xGex alloys clearly altered the dielectric response. The bi-axial stress induced shift of the E1 and El + Δ1 critical point energies of pseudomorphic alloys can be described by the elastic response to the strain based on k*p theory [Lange et al., J. Appl. Phys. 80, 4578 (1996)]. Although the critical point energies of the alloys having higher germanium concentration showed deviat...


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2014

Optical properties of pseudomorphic Ge1−xSnx (x = 0 to 0.11) alloys on Ge(001)

Manasa Medikonda; Gangadhara Raja Muthinti; R. Vasic; Thomas N. Adam; Matthew Wormington; Girish Malladi; Yihwan Kim; Yi-Chiau Huang; Alain C. Diebold

The characterization of the optical properties of pseudomorphic Ge1−xSnx/Ge/Si (x = 0 to 0.11) alloys from the IR to UV is presented. The Ge1−xSnx alloys were epitaxially grown on relaxed Ge grown on Si. Rutherford backscattering (RBS) and RBS ion channeling methods were used to confirm the Sn composition and substitutional nature of the Sn into the Ge lattice. The pseudomorphic nature of the Ge1−xSnx on Ge is confirmed using high resolution x-ray diffraction (HRXRD) and transmission electron microscopy. Although HRXRD reciprocal space maps indicated that the Ge1−xSnx was pseudomorphic to Ge, the shape of the Bragg peaks indicated that the sample surface was rough. The rough surface morphology is confirmed using atomic force microscopy. The complex dielectric function is reported in the IR, visible, and UV spectrum in the wavelength range of 0.2–5.06 eV. The E1, E1 + Δ1, E2, and E0 critical points are extracted using second and third derivative line shape fitting and are compared with the elastic theory c...


Proceedings of SPIE | 2013

Mueller based scatterometry measurement of nanoscale structures with anisotropic in-plane optical properties

Gangadhara Raja Muthinti; Manasa Medikonda; Jody A. Fronheiser; Vimal Kamineni; Brennan Peterson; Joseph Race; Alain C. Diebold

The uses of strained channel became prevalent at the 65 nm node and have continued to be a large part of logic device performance improvements in every technology generation. These material and integration innovations will continue to be important in sub-22nm devices, and are already being applied in finFET devices where total available in-channel strains are potentially higher. The measurement of structures containing these materials is complicated by the intrinsic correlation of the measured optical thickness and variation of optical properties with strain, as well as the dramatic reduction in total volume of the device. Optical scatterometry has enabled characterization of the feature shape and dimensions of complex 3D structures, including non-planar transistors and memory structures. Ellipsometric methods have been successfully applied to the measurement of thin films of SiGe and related strained structures. A direction for research is validating that the thin film stress results can be extended into the much more physically complex 3D shape. There are clear challenges in this: the stress in a SiGe fin is constrained to match the underlying Si along one axis, but the sides and top are free, leading to very large strain gradients both along the fin width and height. Practical utilization of optical techniques as a development tool is often limited by the complexity of the scatterometry model and setup, and this added material complexity presents a new challenge. In this study, generalized spectroscopic ellipsometric measurements of strained grating was undertaken, in parallel with reference cross sectional and top down SEM data. The measurements were modeled for both anisotropy calculations, as well as full scatterometry calculations, fitting the strain and structure. The degree to which strain and CD can be quickly quantified in an optical model is discussed. Sum decomposition method has been implemented to extract the effective anisotropic coefficients and a discussion on the effect of anisotropy toward modeling is presented. Finally, errors in the scatterometry measurement are analyzed, and the relative strengths and limitations of these optical measurements compared.


Journal of Micro-nanolithography Mems and Moems | 2013

Characterization of e-beam patterned grating structures using Mueller matrix based scatterometry

Gangadhara Raja Muthinti; Brennan Peterson; Ravi K. Bonam; Alain C. Diebold

Abstract. Scatterometry is one of the most useful metrology methods for the characterization and control of critical dimensions and the detailed feature shape of periodic structures found in the microelectronics fabrication processes. Spectroscopic ellipsometry (SE) and normal incidence reflectometry (NI)-based scatterometry are widely used optical methodologies for metrology of these structures. Evolution of improved optical hardware and faster computing capabilities led to the development of Mueller matrix (MM)-based scatterometry (MMS). Unlike SE and NI, MM data provides complete information about the optical reflection and transmission of polarized light interacting with a sample. This gives MMS an advantage over traditional SE scatterometry due to the ability to characterize samples that have anisotropic optical properties and depolarize light. In this paper, we present the study of full MM (16-element) scatterometry over a wide spectral range from 245 to 1700 nm on a series of one-dimensional, two-dimensional symmetric, and asymmetric grating structures. A series of laterally complex nanoscale structures were designed and fabricated using a state-of-the-art e-beam patterning. Spectroscopic MM and SE data were collected using a dual rotating compensator ellipsometer. Commercial modeling software based on the rigorous coupled-wave approximation was used to precisely calculate the critical dimensions. Results from MMS were compared with scanning electron microscopy.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2014

Measurement of periodicity and strain in arrays of single crystal silicon and pseudomorphic Si1−xGex/Si fin structures using x-ray reciprocal space maps

Manasa Medikonda; Gangadhara Raja Muthinti; Jody A. Fronheiser; Vimal Kamineni; Matthew Wormington; Kevin Matney; Thomas N. Adam; Evguenia Karapetrova; Alain C. Diebold

College of Nanoscale Science and Engineering, SUNY, New York 12203 GLOBALFOUNDRIES, Albany, New York 12203 Jordan Valley Semiconductors Inc., 3913 Todd Lane, Suite 106, Austin, Texas 78744 Advanced Photon Source, Argonne National Laboratory, 9700S Cass Ave., Argonne, Illinois 60439


Journal of Applied Physics | 2013

Multi-technique x-ray and optical characterization of crystalline phase, texture, and electronic structure of atomic layer deposited Hf1−xZrxO2 gate dielectrics deposited by a cyclical deposition and annealing scheme

R. Vasic; Steven Consiglio; Robert D. Clark; Kandabara Tapily; Shawn Sallis; Bo Chen; David Newby; Manasa Medikonda; Gangadhara Raja Muthinti; Eric Bersch; Jean Jordan-Sweet; Christian Lavoie; Gert J. Leusink; Alain C. Diebold

A multi-technique approach was used to determine the crystalline phase, texture, and electronic structure of Hf1−xZrxO2 (x = 0–1) high-k gate dielectric thin films grown by atomic layer deposition using a cyclical deposition and annealing method. X-ray diffraction (XRD) analysis performed in both grazing incidence and pole figure configurations identified the tetragonal phase for Zr/(Zr + Hf)% = 58% and a concomitant increase in tetragonal phase for further increase in Zr content. X-ray absorption spectroscopy (XAS) was used to determine the local atomic structure and metal oxide bond orientation. Polarization dependent XAS in normal and grazing incidence showed preferential metal-oxygen bond orientation consistent with the texturing observed by XRD. X-ray photoemission spectroscopy (XPS) and spectroscopic ellipsometry (SE) were also performed with special focus on spectral features which arise as a consequence of atomic ordering and specific crystalline phase. The combination of XAS, XPS, SE, and XRD ena...


Journal of Micro-nanolithography Mems and Moems | 2015

Sensitivity analysis and line edge roughness determination of 28-nm pitch silicon fins using Mueller matrix spectroscopic ellipsometry-based optical critical dimension metrology

Dhairya Dixit; Samuel O’Mullane; Sravan Sunkoju; Abhishek Gottipati; Erik R. Hosler; Vimal Kamineni; Moshe Preil; Nick Keller; Joseph Race; Gangadhara Raja Muthinti; Alain C. Diebold

Abstract. Measurement and control of line edge roughness (LER) is one of the most challenging issues facing patterning technology. As the critical dimensions (CDs) of patterned structures decrease, an LER of only a few nanometers negatively impacts device performance. Here, Mueller matrix (MM) spectroscopic ellipsometry-based scatterometry is used to characterize LER in periodic line-space structures in 28-nm pitch Si fin samples fabricated by directed self-assembly patterning. The optical response of the MM elements is influenced by structural parameters like pitch, CDs, height, and side-wall angle, as well as the optical properties of the materials. Evaluation and decoupling MM element response to LER from other structural parameters requires sensitivity analysis using scatterometry models that include LER. Here, an approach is developed that can be used to characterize LER in Si fins by comparing the optical responses generated by systematically varying the grating shape and measurement conditions. Finally, the validity of this approach is established by comparing the results obtained from power spectral density analysis of top down scanning electron microscope images and cross-sectional transmission electron microscope image of the 28-nm pitch Si fins.


Proceedings of SPIE | 2013

Fin Stress and Pitch Measurement using X-ray Diffraction Reciprocal Space Maps and Optical Scatterometry

Alain C. Diebold; Manasa Medikonda; Gangadhara Raja Muthinti; Vimal Kamineni; Jody A. Fronheiser; Matthew Wormington; Brennan Peterson; Joseph Race

Although fin metrology presents many challenges, the single crystal nature of the fins also provides opportunities to use a combination of measurement methods to determine stress and pitch. While the diffraction of light during a scatterometry measurement is well known, X-ray diffraction from a field (array) of single crystal silicon fins can also provide important information. Since some fins have Si1-xGex alloys at the top of the fin, determination of the presence of stress relaxation is another critical aspect of fin characterization. Theoretical studies predict that the bi-axially stressed crystal structure of pseudomorphic alloy films will be altered by the fin structure. For example, one expects it will be different along the length of the fin vs the width. Reciprocal space map (RSM) characterization can provide a window in the stress state of fins as well as measure pitch walking and other structural information. In this paper, we describe the fundamentals of how RSMs can be used to characterize the pitch of an array of fins as well as the stress state. We describe how this impacts the optical properties used in scatterometry measurement.


Proceedings of SPIE | 2012

Investigation of E-beam patterned nanostructures using Mueller Matrix based Scatterometry

Gangadhara Raja Muthinti; Brennan Peterson; Alain C. Diebold

Scatterometry is one of the most useful metrology methods for the characterization and control of critical dimensions (CD) and the detailed topography of periodic structures in microelectronics fabrication processes. Spectroscopic Ellipsometry (SE) and Normal Incidence Reflectometry (NI) based Scatterometry are the most widely used methodologies for metrology of these structures. Evolution of better optical hardware and faster computing capabilities led to the development of Mueller Matrix (MM) based Scatterometry (MMS). In this paper we present the first study of dimensional metrology using full Mueller Matrix (16 element) Scatterometry in the wavelength range of 245nm- 1000nm. Unlike SE and NI, MM data provides complete information about the optical reflection and transmission of polarized light through a sample. MM is a 4x4 transformation matrix (16 elements) describing the change in the intensities of incident polarized light expressed by means of a Stokes Vector. The symmetry properties associated with MM provide an excellent means of measuring and understanding the topography of the periodic nanostructures. Topography here refers to uniformity of the periodic structure. The advantage of MMS over traditional SE Scatterometry is the ability of MMS to measure samples that have anisotropic optical properties and depolarize light. The present study focuses on understanding the precision and accuracy of Mueller based Scatterometry with respect to other methodologies by a systematic approach. Several laterally complex nanoscale structures with dimensions in the order of nanometers were designed and fabricated using a state of the art E-beam pattering tool (VISTEC [R] 300). Later, Spectroscopic Mueller matrix (all 16 elements) and SE data were collected in planar diffraction mode for the samples using J.A. Woollam RC2 [TM] Spectroscopic Ellipsometer. NanoDiffract [TM] (Scatterometry software provided by Nanometrics Inc.) was used to model the nanostructures to precisely calculate the critical dimensions. Complementary techniques like SEM were used to compare the results obtained from Scatterometry. Finally, Mueller and SE based Scatterometry techniques were compared commenting on reliability of MM based Scatterometry.


Journal of Micro-nanolithography Mems and Moems | 2014

Multitechnique metrology methods for evaluating pitch walking in 14 nm and beyond FinFETs

Robin Chao; Kriti Kohli; Yunlin Zhang; Anita Madan; Gangadhara Raja Muthinti; Augustin J. Hong; David Conklin; Judson R. Holt; Todd C. Bailey

Abstract. Integrated circuits from 22-nm node and beyond utilize many innovative techniques to achieve features that are well beyond the resolution limit of 193-nm immersion lithography. The introduction of complex three-dimensional structures in device design presents additional challenges that require more sophisticated metrology with high accuracy and precision. One such example is pitch walking induced by multiple-patterning techniques. Quantification of pitch walking has traditionally been a challenge. We present two ways of detecting pitch walking using optical and x-ray techniques. In scatterometry, this work investigates the feasibility of nonorthogonal azimuth angle spectroscopic reflectometry setups for fin pitch walking measurements, which is useful for in-line monitoring in 14-nm node microelectronics manufacturing. Simulations show a significant improvement in pitch walking sensitivity using 45-deg azimuth scan. Other relevant considerations for pitch walking modeling in scatterometry, such as parameter correlations, are also discussed. Another approach is using high-resolution x-ray diffraction (HRXRD) to measure the diffraction peaks from crystalline fins. The onset of pitch walking is determined by the appearance of a shifted subset of peaks in the diffraction spectrum. Information about the fin profiles, e.g., sidewall angle, critical dimension, height, and pitch walking, can be obtained from the resultant diffraction pattern. Note that in HRXRD measurements, each critical parameter is a unique element in the Reciprocal Space Map (RSM) and no correlations between parameters exist. We will discuss the results from measurements using the two techniques and how the combination of the two techniques can give complete information about the fins needed for in-line monitoring.

Collaboration


Dive into the Gangadhara Raja Muthinti's collaboration.

Top Co-Authors

Avatar

Alain C. Diebold

State University of New York System

View shared research outputs
Top Co-Authors

Avatar

Manasa Medikonda

State University of New York System

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Brennan Peterson

State University of New York System

View shared research outputs
Researchain Logo
Decentralizing Knowledge