Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where John G. Gaudiello is active.

Publication


Featured researches published by John G. Gaudiello.


Ibm Journal of Research and Development | 1993

Mechanistic insights into metal-mediated electroless copper plating employing hypophosphite as a reducing agent

John G. Gaudiello; Gerald L. Ballard

Electroless copper plating using systems containing a small amount of Ni2+ or Pd2+ as a mediator and hypophosphite as a reducing agent was investigated using several electrochemical techniques. Isothermal and component-dependent polarization, rate, Emix, split-cell, and ac impedance data suggested that the systems obey mixed potential theory and function as follows: a) the mediator is initially deposited from solution to the surface of the workpiece via hypophosphite reduction, b) oxidation of the hypophosphite at mediator sites supplies charge for Cu reduction, and c) Cu plating occurs over the entire workpiece. XPS analysis and depth profiling of the resulting deposits suggested that they are homogeneous in nature and that the mediator is uniformly distributed throughout. The chemical composition determined by the XPS analysis agrees well with the results obtained by atomic emission spectroscopy. In addition, the analysis showed no evidence of Cu oxide formation.


Proceedings of SPIE | 2017

Electrical test prediction using hybrid metrology and machine learning

Mary Breton; Robin Chao; Gangadhara Raja Muthinti; Abraham A. de la Peña; Jacques Simon; Aron Cepler; Matthew Sendelbach; John G. Gaudiello; Susan Emans; Michael Shifrin; Yoav Etzioni; Ronen Urenski; Wei Ti Lee

Electrical test measurement in the back-end of line (BEOL) is crucial for wafer and die sorting as well as comparing intended process splits. Any in-line, nondestructive technique in the process flow to accurately predict these measurements can significantly improve mean-time-to-detect (MTTD) of defects and improve cycle times for yield and process learning. Measuring after BEOL metallization is commonly done for process control and learning, particularly with scatterometry (also called OCD (Optical Critical Dimension)), which can solve for multiple profile parameters such as metal line height or sidewall angle and does so within patterned regions. This gives scatterometry an advantage over inline microscopy-based techniques, which provide top-down information, since such techniques can be insensitive to sidewall variations hidden under the metal fill of the trench. But when faced with correlation to electrical test measurements that are specific to the BEOL processing, both techniques face the additional challenge of sampling. Microscopy-based techniques are sampling-limited by their small probe size, while scatterometry is traditionally limited (for microprocessors) to scribe targets that mimic device ground rules but are not necessarily designed to be electrically testable. A solution to this sampling challenge lies in a fast reference-based machine learning capability that allows for OCD measurement directly of the electrically-testable structures, even when they are not OCD-compatible. By incorporating such direct OCD measurements, correlation to, and therefore prediction of, resistance of BEOL electrical test structures is significantly improved. Improvements in prediction capability for multiple types of in-die electrically-testable device structures is demonstrated. To further improve the quality of the prediction of the electrical resistance measurements, hybrid metrology using the OCD measurements as well as X-ray metrology (XRF) is used. Hybrid metrology is the practice of combining information from multiple sources in order to enable or improve the measurement of one or more critical parameters. Here, the XRF measurements are used to detect subtle changes in barrier layer composition and thickness that can have second-order effects on the electrical resistance of the test structures. By accounting for such effects with the aid of the X-ray-based measurements, further improvement in the OCD correlation to electrical test measurements is achieved. Using both types of solution incorporation of fast reference-based machine learning on nonOCD-compatible test structures, and hybrid metrology combining OCD with XRF technology improvement in BEOL cycle time learning could be accomplished through improved prediction capability.


Proceedings of SPIE | 2016

Advanced in-line metrology strategy for self-aligned quadruple patterning

Robin Chao; Mary Breton; Benoit L'herron; Brock Mendoza; Raja Muthinti; Florence Nelson; Abraham A. de la Peña; Fee li Le; Eric R. Miller; Stuart A. Sieg; J. Demarest; Peter Gin; Matthew Wormington; Aron Cepler; Cornel Bozdog; Matthew Sendelbach; Shay Wolfling; Tom Cardinal; Sivananda K. Kanakasabapathy; John G. Gaudiello; Nelson Felix

Self-Aligned Quadruple Patterning (SAQP) is a promising technique extending the 193-nm lithography to manufacture structures that are 20nm half pitch or smaller. This process adopts multiple sidewall spacer image transfers to split a rather relaxed design into a quarter of its original pitch. Due to the number of multiple process steps required for the pitch splitting in SAQP, the process error propagates through each deposition and etch, and accumulates at the final step into structure variations, such as pitch walk and poor critical dimension uniformity (CDU). They can further affect the downstream processes and lower the yield. The impact of this error propagation becomes significant for advanced technology nodes when the process specifications of device design CD requirements are at nanometer scale. Therefore, semiconductor manufacturing demands strict in-line process control to ensure a high process yield and improved performance, which must rely on precise measurements to enable corrective actions and quick decision making for process development. This work aims to provide a comprehensive metrology solution for SAQP. During SAQP process development, the challenges in conventional in-line metrology techniques start to surface. For instance, critical-dimension scanning electron microscopy (CDSEM) is commonly the first choice for CD and pitch variation control. However, it is found that the high aspect ratio at mandrel level processes and the trench variations after etch prevent the tool from extracting the true bottom edges of the structure in order to report the position shift. On the other hand, while the complex shape and variations can be captured with scatterometry, or optical CD (OCD), the asymmetric features, such as pitch walk, show low sensitivity with strong correlations in scatterometry. X-ray diffraction (XRD) is known to provide useful direct measurements of the pitch walk in crystalline arrays, yet the data analysis is influenced by the incoming geometry and must be used carefully. A successful implementation of SAQP process control for yield improvement requires the metrology issues to be addressed. By optimizing the measurement parameters and beam configurations, CDSEM measurements distinguish each of the spaces corresponding to the upstream mandrel processes and report their CDs separately to feed back to the process team for the next development cycle. We also utilize the unique capability in scatterometry to measure the structure details in-line and implement a “predictive” process control, which shows a good correlation between the “predictive” measurement and the cross-sections from our design of experiments (DOE). The ability to measure the pitch walk in scatterometry was also demonstrated. This work also explored the frontier of in-line XRD capability by enabling an automatic RSM fitting on tool to output pitch walk values. With these advances in metrology development, we are able to demonstrate the impacts of in-line monitoring in the SAQP process, to shorten the patterning development learning cycle to improve the yield.


Proceedings of SPIE | 2015

Hybridization of XRF/XPS and scatterometry for Cu CMP process control

B. Lherron; Robin Chao; Kwanghoon Kim; Wei Ti Lee; Koichi Motoyama; Bartlet H. Deprospo; Theodorus E. Standaert; John G. Gaudiello; Cindy Goldberg

This paper demonstrates the synergy between X-rays techniques and scatterometry, and the benefits to combine the data to improve the accuracy and precision for in-line metrology. Particular example is given to show that the hybridization addresses the challenges of aggressive patterning. In 10nm node back-end-of-line (BEOL) integration, we show that the hybridized data between scatterometry and simultaneous X-Ray Fluorescence (XRF) and X-ray Photoelectron Spectroscopy (XPS) provided the closest dimensional correlation to TEM results compared to the individual technique and CDSEM.


advanced semiconductor manufacturing conference | 2014

Silicon-Germanium (SiGe) composition and thickness determination via simultaneous smallspot XPS and XRF measurements

B. Lherron; Nicolas Loubet; Qing Liu; Wei Ti Lee; Mark Klare; Heath Pois; Mike Kwan; Ying Wang; Tom Larson; Saiqa Farhat; Jennifer Fullam; John G. Gaudiello; Srinivasan Rangarajan; Bing Sun; Romain Wacquez; Sylvian Maitrejean

The thickness and composition determination of Silicon-Germanium (SiGe) films have been demonstrated using simultaneous X-ray Photoelectron (XPS) and X-ray Fluorescence (XRF) measurements. Measurements of SiGe films in various applications were explored. It is shown that the measurement is sensitive and linear over a much wider range of SiGe thickness, with excellent precision. Long term stability of the measurement is also shown to be very good.


Proceedings of SPIE | 2011

High-throughput critical dimensions uniformity (CDU) measurement of two-dimensional (2D) structures using scanning electron microscope (SEM) systems

Jennifer Fullam; Carol Boye; Theodorus E. Standaert; John G. Gaudiello; Derek Tomlinson; Hong Xiao; Wei Fang; Xu Zhang; Fei Wang; Long E. Ma; Yan Zhao; Jack Jau

In this paper, we tested a novel methodology of measuring critical dimension (CD) uniformity, or CDU, with electron beam (e-beam) hotspot inspection and measurement systems developed by Hermes Microvision, Inc. (HMI). The systems were used to take images of two-dimensional (2D) array patterns and measure CDU values in a custom designated fashion. Because this methodology combined imaging of scanning micro scope (SEM) and CD value averaging over a large array pattern of optical CD, or OCD, it can measure CDU of 2D arrays with high accuracy, high repeatability and high throughput.


Metrology, Inspection, and Process Control for Microlithography XXXII | 2018

Novel hybrid metrology for process integration of gate all around (GAA) devices (Conference Presentation)

Gangadhara Raja Muthinti; Dexin Kong; Susan Ng-Emans; Matthew Sendelbach; Nicolas Loubet; Robinhsinkuo Chao; Abraham A. de la Peña; Juntao Li; Brock Mendoza; Veeraraghavan S. Basker; Tenko Yamashita; John G. Gaudiello; Aron Cepler; Wei Ti Lee; Gilad Barak

Multi-channel gate all around (GAA) semiconductor devices require measurements of more target parameters than FinFET devices, due in part to the increased complexity of the different structures needed to fabricate nanosheet devices. In some cases, multiple measurement techniques are required to be used in a hybrid-metrology technique in order to properly extract the necessary information. Optical scatterometry (optical critical dimension, or OCD) is an inline metrology technique which is used to measure the geometrical profile of the structure, but it may not ordinarily be sensitive to very small residues. X-ray based metrologies, such as x-ray fluorescence (XRF) can be used to identify which materials are present in the structure, but are not able to measure profile information for complex 3D structures. This paper reviews a critical etch process step, where neither OCD nor XRF can extract all of the necessary information about the structure on their own, but, when hybridized, are able to provide enough information to solve the application. In GAA structures, the nanosheets are formed from alternating layers of thin SiGe and Si layers which are deposited on a bulk Si substrate. To form the nFET channel, the SiGe must be removed. However, in some cases, there is still remaining SiGe residue on the surface of the Si nanosheets, present in small amounts that are difficult to measure with conventional OCD. Additionally, it is desirable to know at which level of the stacked nanosheets the residue is present. In order to properly characterize the amount of SiGe remaining, data from both OCD and XRF are used. By measuring before and after the etch, the XRF can calculate the percentage of SiGe that is remaining after the etch. This percentage can be used as a constraint in the OCD model to allow the OCD to accurately measure the amount of SiGe, and to enable the OCD model to identify the location of the residue.


Metrology, Inspection, and Process Control for Microlithography XXXII | 2018

In-line characterization of non-selective SiGe nodule defects with scatterometry enabled by machine learning

Dexin Kong; Robinhsinkuo Chao; Mary Breton; Chi-Chun Liu; Gangadhara Raja Muthinti; Soon-Cheon Seo; Nicolas Loubet; Pietro Montanini; John G. Gaudiello; Veeraraghavan S. Basker; Aron Cepler; Susan Ng-Emans; Matthew Sendelbach; Itzik Kaplan; Gilad Barak; Daniel Schmidt; Julien Frougier

As device scaling continues, controlling defect densities on the wafer becomes essential for high volume manufacturing (HVM). One type of defect, the non-selective SiGe nodule, becomes more difficult to control during SiGe epitaxy (EPI) growth for p-type field effect transistor (pFET) source and drain. The process window for SiGe EPI growth with low nodule density becomes extremely tight due to the shrinking of contact poly pitch (CPP). Any tiny process shift or incoming structure shift could introduce a high density of nodules, which could affect device performance and yield. The current defect inspection method has a low throughput, so a fast and quantitative characterization technique is preferred for measuring and monitoring this type of defect. Scatterometry is a fast and non-destructive in-line metrology technique. In this work, novel methods were developed to accurately and comprehensively measure the SiGe nodules with scatterometry information. Top-down critical dimension scanning electron microscopy (CD-SEM) images were collected and analyzed on the same location as scatterometry measurement for calibration. Machine learning (ML) algorithms are used to analyze the correlation between the raw spectra and defect density and area fraction. The analysis showed that the defect density and area fractions can be measured separately by correlating intensity variations. In addition to the defect density and area fraction, we also investigate a novel method – model-based scatterometry hybridized with machine learning capabilities – to quantify the average height of the defects along the sidewall of the gate. Hybridizing the machine learning method with the model-based one could also eliminate the possibility of misinterpreting the defect as some structural parameters. Furthermore, cross-sectional TEM and SEM measurement are used to calibrate the model-based scatterometry results. In this work, the correlation between the SiGe nodule defects and the structural parameters of the device is also studied. The preliminary result shows that there is strong correlation between the defect density and spacer thickness. Correlations between the defect density and the structural parameters provides useful information for process engineers to optimize the EPI growth process. With the advances in the scatterometry-based defect measurement metrology, we demonstrate such fast, quantitative, and comprehensive measurement of SiGe nodule defects can be used to improve the throughput and yield.


Proceedings of SPIE | 2017

Reaching for the true overlay in advanced nodes

Chiew-seng Koay; Bassem Hamieh; Nelson Felix; John G. Gaudiello

Traditionally, the total measurement uncertainty (TMU) of overlay metrology focuses on dynamic precision, toolinduced-shift, and matching, while rarely examining inaccuracy. However, some researchers have recently shown that measurement inaccuracy can still be large despite optimized small TMU. Moreover, this inaccuracy can consume a significant portion of the overlay budget in the advanced nodes. In addition to qualifying the overlay error of inline wafers, overlay metrology is also used for improving on-product overlay as it provides corrective feedback to the lithography scanner. The accuracy of the correction terms as a result depends directly upon the measurement accuracy. As such, enhanced overlay accuracy will improve the overlay performance of reworked wafers, or subsequently exposed wafers. We have previously shown that a segmented Blossom target is more prone to asymmetry-induced inaccuracy than a nonsegmented target is [1]. Since target segmentation is inevitable for SADP and SAQP patterning processes, their resulting overlay performance leaves a lot to be desired. In our quest to reach for the true overlay, this paper reports our investigations on accuracy enhancement techniques for image-based targets, such as redundancy and self-calibration, and on the use of simulation-optimized scatterometry-based targets.


Proceedings of SPIE | 2017

Materials characterization for process integration of multi-channel gate all around (GAA) devices

Gangadhara Raja Muthinti; Nicolas Loubet; Robin Chao; Abraham A. de la Peña; Juntao Li; Michael A. Guillorn; Tenko Yamashita; Sivananda K. Kanakasabapathy; John G. Gaudiello; Aron Cepler; Matthew Sendelbach; Susan Emans; Shay Wolfling; Avron Ger; Daniel Kandel; Roy Koret; Wei Ti Lee; Peter Gin; Kevin Matney; Matthew Wormington

Multi-channel gate all around (GAA) semiconductor devices march closer to becoming a reality in production as their maturity in development continues. From this development, an understanding of what physical parameters affecting the device has emerged. The importance of material property characterization relative to that of other physical parameters has continued to increase for GAA architecture when compared to its relative importance in earlier architectures. Among these materials properties are the concentration of Ge in SiGe channels and the strain in these channels and related films. But because these properties can be altered by many different process steps, each one adding its own variation to these parameters, their characterization and control at multiple steps in the process flow is crucial. This paper investigates the characterization of strain and Ge concentration, and the relationships between these properties, in the PFET SiGe channel material at the earliest stages of processing for GAA devices. Grown on a bulk Si substrate, multiple pairs of thin SiGe/Si layers that eventually form the basis of the PFET channel are measured and characterized in this study. Multiple measurement techniques are used to measure the material properties. In-line X-Ray Photoelectron Spectroscopy (XPS) and Low Energy X-Ray Fluorescence (LE-XRF) are used to characterize Ge content, while in-line High Resolution X-Ray Diffraction (HRXRD) is used to characterize strain. Because both patterned and un-patterned structures were investigated, scatterometry (also called optical critical dimension, or OCD) is used to provide valuable geometrical metrology.

Researchain Logo
Decentralizing Knowledge