Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Cha-Won Koh is active.

Publication


Featured researches published by Cha-Won Koh.


26th Annual International Symposium on Microlithography | 2001

Improved lithographic performance of 193-nm photoresists based on cycloolefin/maleic anhydride copolymer by employing mixed PAGs

Se-Jin Choi; Yong-Jun Choi; Yang-Sook Kim; Sang-Don Kim; Deog-Bae Kim; Jae-Hyun Kim; Cha-Won Koh; Geunsu Lee; Jae Chang Jung; Ki-Ho Baik

The effect of mixed PAGs on the performance of ArF photoresists based on cycloolefin/maleic anhydride (COMA) copolymers were investigated. Several different PAGs were prepared according to the size of photogenerated acid moiety and structure of light sensitive chromophore, and the impact of PAG property on lithographic performance was investigated in terms of the acid size, acid generation efficiency, and hydrophobicity of PAG. The diffusion according to the size of generated acid and hydrophobicity of PAG were found to be the most profound factors of the pattern profile and line edge roughness (LER) of developed resist pattern. Resolution capability as well as PED stability can be improved though adjustment of acid size, PAG hydrophobicity. Additionally, profile difference between dark and bright field according to mask type can be suppressed by the use of PAG with lower acid generation efficiency. However, lithographic performance, such as LER, pattern profile, and PED stability show the dissimilar trend with acid size and hydrophobicity of PAG. Thus, when PAG mixture is employed in a photoresist formulation, it is observed that both pattern profile and LER were improved simultaneously, which has been difficult to achieve for previously reported OCMA- based ArF resists.


26th Annual International Symposium on Microlithography | 2001

Novel routes toward sub-70-nm contact windows by using new KrF photoresist

Jin Soo Kim; Cha-Won Koh; Geunsu Lee; Jae Chang Jung; Ki-Soo Shin

To overcome C/H(contact holes) shrinkage limitation of Resist Flow Process (RFP), we investigated and analyzed the tendency of the shrink bias according to the baking temperature and other process factors. Based on this basic test, we found that the shrink bias for the baking temperature could be modeled on the simple linear function. And also we estimated new Hotplate to improve CD uniformity after the resist flow and evaluated newly developed photoresist (New Resist) for the stable C/H shrinkage. In this study, we could recognize that CD uniformity after the resist flow was very dependent on actual temperature uniformity of Hotplate. Actually New Hotplate, which was superior to normal Hotplate, showed good CD uniformity (16nm) at the strong brink bias(140nm). On the other hand, the C/H shrinkage of New Resist was more stable than those of normal KrF Resists and its C/H profiles could not be severely deformed at even high baking temperature to shrink Sub-70nm C/H from original C/H(200nm). Based on these results, the progressive Resist Flow Process in KrF lithography will be a very robust candidate at even high gigabit generation devices.


international microprocesses and nanotechnology conference | 2000

Novel organic bottom anti-reflective coating materials for 193 nm lithography

Min-Ho Jung; Sung-Eun Hong; Jae-Chang Jung; Geunsu Lee; Cha-Won Koh; Jin-Soo Kim; Ki-Ho Baik

We report the performance and compatibility of our new organic BARC materials (HEART004) designed to work for lithographic applications at 193 nm. The new organic BARC material has approximately an optical density of 12.1 /spl mu/m/sup -1/ at 193 nm. Its plasma etch rate is higher than that of ArF resists depending on the etch conditions. We evaluated typical two kinds of ArF resists on the organic BARCs, one is DHA1001 based on cycloolefin/maleic anhydride copolymer and the other is PAR710 based on acrylic copolymer. The PAR710 shows good compatibility with HEART004 at 210/spl deg/C of baking temperature.


Advances in Resist Technology and Processing XX | 2003

Characterization of resist flow process for the improvement of contact hole CD uniformity

Cha-Won Koh; Dong-Ho Lee; Myoung-Soo Kim; Sung-Nam Park; Won-Taik Kwon

We can print contact hole pattern beyond the optical resolution limit using resist flow process. But CD uniformity should be improved for its masse implementation because CD uniformity gets worser as shrink bias gets larger in resist flow process. For example, contact hole size of wafer edge region is larger by the amount of about 20nm than that of wafer center region. Contact hole CD uniformity depends on uniformity of wafer temperature to which bake oven temperature uniformity and atmosphere temperature uniformity surrounding the wafer in bake oven can affect. The air and exhaust have large effect on the temperature uniformity of atmosphere surrounding the wafer. And mechanical structure of bake oven has an influence on wafer temperature uniformity. So we tested CD uniformity according to types of middle cover in bake oven. We also investigated CD uniformity according to resist inherent characteristics concerning resist flow rate. We optimized resist flow process using two step flow system, which improves wafer CD uniformity by amount of 30% compared to one step resist flow process and considering resist thickness dependency. Device characteristics of chain resistance uniformity was enhanced by improving contact hole CD uniformity.


Advances in Resist Technology and Processing XVII | 2000

ArF photoresist containing novel acid labile cross-linker for high contrast and PED stability

Geunsu Lee; Cha-Won Koh; Sung-Eun Hong; Jae Chang Jung; Min-Ho Jung; Hyeong-Soo Kim; Ki-Ho Baik

In our previous model, we have introduced a lot of t- butylcarboxylate group in matrix resin to achieve a high contrast and obtain a good lithographic performance. Most ArF photoresists having only t-butylcarboxylate group as a dissolution inhibitor have showed by far the inferior performance in a poor amine controlled environment. To overcome this problem, we greatly reduced the usage of t-butyl carboxylate group and increased the amount of HMEBC that contains both carboxylic acid group and alcohol group. And also, we newly introduced acid labile cross-linker for high contrast. Our novel resist exhibited an excellent lithographic performance without any protective top coating material, namely, a good PED (post exposure delay) stability, an improved CD (Critical Dimension) linearity, a proper sensitivity for process, and a good contrast. In addition, its synthetic yield is very high (>50%) and then it is cost- effective for mass production. 120 nm patterns were successfully defined at 13 mJ/cm2 by using a BIM (Binary Intensity Mask) with 2/3 annular (0.50/0.75(sigma) ).


Advances in Resist Technology and Processing XVI | 1999

Novel 193-nm single-layer resist containing a multifunctional monomer

Geunsu Lee; Cha-Won Koh; Jae Chang Jung; Min-Ho Jung; Hyeong-Soo Kim; Ki-Ho Baik; Il-Hyun Choi

We have newly developed a novel multi-functional monomer. Application of this monomer also allows us to introduce another unit to further improve its etch resistance. Furthermore, our novel resist containing this multi- functional monomer exhibits an excellent adhesion to Si substrate, an improved CD linearity, a high sensitivity, a good contrast, and a high synthetic yield. A 110nm L/S pattern was successfully at 1:2 pitch of a strong PSM was also successfully obtained by using a 2.38wt percent TMAH aqueous solution as a developer.


Optical Microlithography XVI | 2003

Characterization and improvement of field CD uniformity for implementation of 0.15-μm technology device using KrF stepper

Yoonsuk Hyun; Dong Joo Kim; Cha-Won Koh; Sung-Nam Park; Won-Taik Kwon

xAs the design rule of semiconductor device shrinks, the field CD uniformity gets more important. For mass production of 0.15 μm technology device using KrF stepper having 0.63NA, the improvement of field CD uniformity was one of key issues because field CD uniformity is directly related to device characteristics in some layers. We have experienced steppers that show poor illumination uniformity. With those steppers there was large CD difference of about 10nm between field center and field edges as shown in Figure 1. Although we were using verified reticles, we could not get an acceptable CD uniformity in a field with those steppers. The Field CD uniformity is dominantly dependent of the illumination uniformity of stepper and mask quality. With these optimization, we could control DICD difference between field center and edge to be less than 5nm. In this paper, we characterized the dependency of field CD uniformity according to illumination systems with stepper and scanner, annular illumination uniformity at various stigma, mask CD uniformity and the several types of novel gray filter specifically developed.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Contact hole resolution enhancement by post exposure amine treatment (CONPEAT) process

Cha-Won Koh; Jin-Soo Kim; Chang-Il choi; Tae-Seung Eom; Won-Taik Kwon; Jae Chang Jung; Cheol-Kyu Bok; Ki-Soo Shin

We can print the contact hole pattern beyond the optical resolution limit using resist flow process. But its application has not strong point for the layer having various kinds of contact hole size and duty ratio according to x-axis or y-axis. Nevertheless, for the mass production of sub-150nm design rule device with KrF lithography using resist flow process, moderate shrink bias of below 40nm is required because the CD uniformity gets worse as the shrink bias gets larger by the influence of the hotplate dependency. We developed novel technology of shrinking contact hole using chemically amplified resist. It is generally known that the chemically amplified resist have t- top profile or larger line width when it has too much post exposure delay time at high amine concentration. Using this phenomenon, we intentionally treated amine of hexamethyl disilazane between exposure step and post exposure bake step, so we got smaller contact hole. Pattern profile of contact holes obtained by CONPEAT(CONtact hole resolution enhancement by Post Exposure Amine Treatment) process was fine. The contact size was 190nm with normal process and it was shrunk to 150nm using CONPEAT process. In this paper, we report the novel contact hole shrinkage technology of CONPEAT process. Its process feasibility was studied considering pattern fidelity, shrink bias and CD uniformity. We also introduce the experimental results of super contact hole shrinkage process using both contact hole shrinkage technology of CONPEAT process and resist flow process simultaneously.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Limitation of optical lithography for various resolution enhancement technologies

Tae-Seung Eom; Chang-Nam Ahn; Dongheok Park; Cha-Won Koh; Cheol-Kyu Bok

In this paper, we will discuss the limitation of optical lithography with various resolution enhancement technologies. Lithography simulation was done by Hynix OPC Simulation Tool (HOST) based on Diffused Aerial Image Model (DAIM). The effects of numerical aperture (NA), wavelength, illumination conditions, mask and diffusion length of acid were simulated in view of resolution improvement. Diffusion length of acid is a dominant factor for resolution improvement for sub-100 nm era. As pattern size decreased, the limitation of optical lithography is more affected by diffusion length of acid. And other factors (NA, wavelength, illumination conditions and mask) will be discussed. Finally, ultimate the limitation of lithography will be discussed analytically.


26th Annual International Symposium on Microlithography | 2001

Novel high-performance ArF resist for sub-100-nm lithography

Geunsu Lee; Cha-Won Koh; Jae Chang Jung; Min-Ho Jung; Keun-Kyu Kong; Jin Soo Kim; Ki-Soo Shin; Se-Jin Choi; Yang-Sook Kim; Yong-Jun Choi; Deog-Bae Kim

We have developed several COMA (Cycloolefin-maleic anhydride) type resists and demonstrated their good lithographic performances, especially in the isolated line. Our resist (DHA-H110) was newly upgraded for the manufacturing of sub-100nm device in terms of bulk slope, LER (Line Edge Roughness), CD Linearity, and matching with substrate to prevent pattern collapse. The chemical structure of base resin was almost unchanged. The bulk slope resulted from high absorbency of the matrix resin was successfully overcome by introducing new additive, S1, which is an agent to remove not only top loss but also footing in the bottom. In real device application, DHA-H110 exhibits better adhesion and smaller LER than acrylate type resists on organic BARC. In addition, it shows superior pattern profile after etch process to acrylate type resists. In this paper, we suggest resist related issues for sub-100nm patterning and present lithographic performances of DHA-H110 in detail.

Collaboration


Dive into the Cha-Won Koh's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ki-Ho Baik

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Jin Soo Kim

Chonbuk National University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge