Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yasufumi Kawasuji is active.

Publication


Featured researches published by Yasufumi Kawasuji.


Proceedings of SPIE | 2013

LPP-EUV light source development for high volume manufacturing lithography

Hakaru Mizoguchi; Hiroaki Nakarai; Tamotsu Abe; Takeshi Ohta; Krzysztof Nowak; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Tsukasa Hori; Takeshi Kodama; Yutaka Shiraishi; Tatsuya Yanagida; Tsuyoshi Yamada; Taku Yamazaki; Shinji Okazaki; Takashi Saitou

Since 2002, we have been developing a CO2-Sn-LPP EUV light source, the most promising solution as the 13.5 nm high power (>200 W) light source for HVM EUV lithography. Because of its high efficiency, power scalability and spatial freedom around plasma, we believe that the CO2-Sn-LPP scheme is the most feasible candidate as the light source for EUVL. By now, our group has proposed several unique original technologies such as CO2 laser driven Sn plasma generation, double laser pulse shooting for higher Sn ionization rate and higher CE, Sn debris mitigation with a magnetic field, and a hybrid CO2 laser system that is a combination of a short pulse oscillator and commercial cw-CO2 amplifiers. The theoretical and experimental data have clearly demonstrated the advantage of combining a laser beam at a wavelength of the CO2 laser system with Sn plasma to achieve high CE from driver laser pulse energy to EUV in-band energy. Combination of CO2 laser power and droplet generator improvements on new EUV chamber (Proto-2) enables stable EUV emission. EUV burst operation data shows stable average 10.2W(clean power @ I/F) EUV emission and maximum 20.3W(clean power @ I/F) was demonstrated. For future HVM the maximum of 4.7% CE with a 20 μm droplet are demonstrated by ps pre-pulse LPP. Also reported 40kW CO2 laser development project cooperate with Mitsubishi electric.


Proceedings of SPIE | 2015

Performance of one hundred watt HVM LPP-EUV source

Hakaru Mizoguchi; Hiroaki Nakarai; Tamotsu Abe; Krzysztof Nowak; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Tsukasa Hori; Takeshi Kodama; Yutaka Shiraishi; Tatsuya Yanagida; Georg Soumagne; Tsuyoshi Yamada; Taku Yamazaki; Shinji Okazaki; Takashi Saitou

We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - “GL200E”. This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.


Proceedings of SPIE | 2008

High-power and high-energy stability injection lock laser light source for double exposure or double patterning ArF immersion lithography

Masaya Yoshino; Hiroaki Nakarai; Takeshi Ohta; Hitoshi Nagano; Hiroshi Umeda; Yasufumi Kawasuji; Toru Abe; Ryoichi Nohdomi; Toru Suzuki; Satoshi Tanaka; Yukio Watanabe; Taku Yamazaki; Shinji Nagai; Osamu Wakabayashi; Takashi Matsunaga; Kouji Kakizaki; Junichi Fujimoto; Hakaru Mizoguchi

ArF immersion technology is spotlighted as the enabling technology for below 45nm node. Recently, double exposure technology is also considered for below 32nm node. We have already released an injection lock ArF excimer laser with ultra-line narrowed and stabilized spectrum performance: GT61A (60W/6kHz/ 10mJ/0.35pm) to ArF immersion market in Q4 2006. The requirements are: i) higher power ii) lower cost of downtime for higher throughput iii) greater wavelength stability for improved overlay and iv) increased lifetimes for lower operation costs. We have developed high power and high energy stability injection lock ArF excimer laser for double patterning: GT62A (90W/6000Hz/15mJ/0.35pm) based on the technology of GT61A and the reliability of GigaTwin (GT) platform. A high power operation of 90W is realized by development of high durability optical elements. Durability of the new optics is at least 3 times as long as that of the conventional optics used in the GT61A. The energy stability is improved more than 1.5 times of performance in the GT61A by optimizing laser operational conditions of the power oscillator. This improvement is accomplished by extracting potential efficiency of injection lock characteristic. The lifetime of power oscillator, which is one of the major parts in cost of ownership, is maintained by using higher output of the power supply.


Proceedings of SPIE | 2011

Development of the reliable high power pulsed carbon dioxide laser system for LPP EUV light source

Takeshi Ohta; Krzysztof Nowak; Takashi Suganuma; Hidenobu Kameda; Masato Moriya; Toshio Yokoduka; Yasufumi Kawasuji; Junichi Fujimoto; Hakaru Mizoguchi

Laser Produced Plasma (LPP) Extreme Ultra Violet (EUV) light source is expected to be used for next generation lithography. To realize such performance for industrial use, the main driver laser is one of the key components. Our source uses a high power pulsed carbon dioxide (CO2) laser as a plasma driver. A master oscillator and a power amplifier (MOPA) system based on a new configuration of an RF-excited CO2 laser is the key to high efficiency. And multiline amplification of CO2 laser is efficient to increase the extraction efficiency in the case of short pulse amplification like this amplification. Numerical result shows the amplification enhancement as 1.3 times higher than the single line amplification. This report shows its initial performance. Multiline configuration is applied to the master oscillator and the efficiency of multiline amplification is verified in our experimental amplifier system. We have achieved 10% energy extraction improvement using 2 lines (P20+P22) as compared to single line (P20).


Proceedings of SPIE | 2016

Performance of new high-power HVM LPP-EUV source

Hakaru Mizoguchi; Hiroaki Nakarai; Tamotsu Abe; Krzysztof Nowak; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Tsukasa Hori; Takeshi Kodama; Yutaka Shiraishi; Tatsuya Yanagida; Tsuyoshi Yamada; Taku Yamazaki; Shinji Okazaki; Takashi Saitou

We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL since 2003. Unique original technologies such as; combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulse shooting and mitigation with magnetic field have been developed in Gigaphoton Inc.. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. We demonstrated 108W EUV power (I/F clean in burst), 80 kHz, 24 hours stable operation at Proto#2 device. Based on these experimental data we are now constructing first practical source for HVM; “GL200E-Pilot#1”. Target of this device is 250 W EUV power by 27 kW pulsed CO2 driver laser system.


Proceedings of SPIE | 2014

Sub-hundred Watt operation demonstration of HVM LPP-EUV source

Hakaru Mizoguchi; Hiroaki Nakarai; Tamotsu Abe; Takeshi Ohta; Krzysztof Nowak; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Tsukasa Hori; Takeshi Kodama; Yutaka Shiraishi; Tatsuya Yanagida; Tsuyoshi Yamada; Taku Yamazaki; Shinji Okazaki; Takashi Saitou

Since 2002, we have been developing a CO2-Sn-LPP EUV light source, the most promising solution as the 13.5 nm high power (>200 W) light source for HVM EUV lithography. Because of its high efficiency, power scalability and spatial freedom around plasma. Our group has proposed several unique original technologies; 1) CO2 laser driven Sn plasma generation, 2) Double laser pulse shooting for higher Sn ionization rate and higher CE. 3) Sn debris mitigation with a magnetic field, 4) Hybrid CO2 laser system that is scalable with a combination of a short pulse oscillator and commercial cw-CO2 amplifiers. 5) High efficient out of band light reduction with grating structured C1 mirror. In past paper we demonstrated in small size (2Hz) experimental device, this experiment shoed the advantage of combining a laser beam at a wavelength of the CO2 laser system with Sn plasma to achieve high CE>4.7% (in maximum) from driver laser pulse energy to EUV in-band energy 1). In this paper we report the further updated results from last paper. (1) 20um droplets at 100kHz operation was successfully ejected by downsized nozzle and demonstrated dramatical improvement of debris on the collector mirror. We have been developing extension of high CE operation condition at 20kHz range, We have reported component technology progress of EUV light source system. (2)New generation collector mirror with IR reduction technology is equipped in mirror maker. (3)20kW CO2 laser amplifier system is demonstrated cooperate with Mitsubishi electric. (4) We develop new Proto #2 EUV LPP source system and demonstrated 200W EUV plasma power (43W EUV clean power at I/F ) at 100kHz operation was confirmed. (5) High conversion efficiency (CE) of 3.9% at 20kHz operation was confirmed in using pico-second pre-pulse laser. (6)Improvement of CO2 laser power from 8kW to 12kW is now on going by installation of new pre-amplifier. (7)Power-up scenario of HVM source is reported, target shipment of first customer beta LPP light source unit is 2015.


Proceedings of SPIE | 2007

Reliable high power injection locked 6 kHz 60W laser for ArF immersion lithography

Takahito Kumazaki; Toru Suzuki; Satoshi Tanaka; Ryoichi Nohdomi; Masaya Yoshino; Shinichi Matsumoto; Yasufumi Kawasuji; Hiroshi Umeda; Hitoshi Nagano; Kouji Kakizaki; Hiroaki Nakarai; Takashi Matsunaga; Junichi Fujimoto; Hakaru Mizoguchi

Reliable high power 193nm ArF light source is desired for the successive growth of ArF-immersion technology for 45nm node generation. In 2006, Gigaphoton released GT60A, high power injection locked 6kHz/60W/0.5pm (E95) laser system, to meet the demands of semiconductor markets. In this paper, we report key technologies for reliable mass production GT laser systems and GT60A high durability performance test results up to 20 billion pulses.


Advanced Optical Technologies | 2015

Performance of 100-W HVM LPP-EUV source

Hakaru Mizoguchi; Hiroaki Nakarai; Tamotsu Abe; Krzysztof Nowak; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Tsukasa Hori; Takeshi Kodama; Yutaka Shiraishi; Tatsuya Yanagida; Georg Soumagne; Tsuyoshi Yamada; Taku Yamazaki; Shinji Okazaki; Takashi Saitou

Abstract At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, ‘GL200E’. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.


Proceedings of SPIE | 2011

Ecology and high-durability injection locked laser with flexible power for double-patterning ArF immersion lithography

Hiroshi Umeda; Hiroaki Tsushima; Hidenori Watanabe; Satoshi Tanaka; Masaya Yoshino; Shinich Matsumoto; H. Tanaka; Akihiko Kurosu; Yasufumi Kawasuji; Takashi Matsunaga; Junichi Fujimoto; Hakaru Mizoguchi

ArF immersion technology has been used widely in volume production for 45nm node. For 32nm node and beyond, double patterning technology with ArF immersion lithography is considered to be the main stream solution until EUV is ready. Our target is to reduce CoO(Cost of ownership) and we aim to develop for ecology and high durability laser. We will introduce the latest performance data of the laser built for ArF immersion lithography under the EcoPhoton concept. Eco-photon concept: -CoC (Cost of Consumable) -CoD (Cost of Downtime) -CoE(Cost of Energy & Environment) We have developed flexible and high power injection-lock ArF excimer laser for double patterning, GT62A-1SxE (Max90W/6000Hz/Flexible power with 10-15mJ/0.30pm (E95)) based on the GigaTwin platform5). A number of innovative and unique technologies are implemented on GT62A-1SxE. In addition, GT62A-1SxE is the laser matching the enhancement technology of advanced illumination systems. For example, in order to provide illumination power optimum for resist sensitivity, it has extendable power from 60W to 90W. We have confirmed durability under these concept with the regulated operation condition with flexible power 60-90W. We show the high durability data of GT62A-1SxE with Eco-Photon concept. In addition to the results the field reliability and availability of our Giga Twin series (GT6XA). We also show technologies which made these performances and its actual data. A number of innovative and unique technologies are implemented on GT62A.


Proceedings of SPIE | 2016

100W EUV light-source key component technology update for HVM

Tsukasa Hori; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Yutaka Shiraishi; Tamotsu Abe; Takeshi Okamoto; Takeshi Kodama; Hiroaki Nakarai; Taku Yamazaki; Shinji Okazaki; Takashi Saitou; Hakaru Mizoguchi

Gigaphoton Inc. develops a high-power laser produced plasma extreme ultraviolet (LPP EUV) light source for high volume manufacturing which enables sub-10nm critical layer patterning for semiconductor device fabrication. A technology update of key components of a 100 W LPP-EUV light source is given in this paper. The key components efficiently produce a stable plasma and evacuate the tin debris from the EUV vessel with a magnetic debris mitigation system. The chosen technology guarantees therefore a high-power and long-life EUV light source system. Each component is described with updated data. The latest system performance results are also presented. They were obtained from our proto LPP-EUV light systems which support 100 W output power.

Collaboration


Dive into the Yasufumi Kawasuji's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Takeshi Kodama

Tokyo Metropolitan University

View shared research outputs
Researchain Logo
Decentralizing Knowledge