Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hiroaki Nakarai is active.

Publication


Featured researches published by Hiroaki Nakarai.


Proceedings of SPIE | 2013

LPP-EUV light source development for high volume manufacturing lithography

Hakaru Mizoguchi; Hiroaki Nakarai; Tamotsu Abe; Takeshi Ohta; Krzysztof Nowak; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Tsukasa Hori; Takeshi Kodama; Yutaka Shiraishi; Tatsuya Yanagida; Tsuyoshi Yamada; Taku Yamazaki; Shinji Okazaki; Takashi Saitou

Since 2002, we have been developing a CO2-Sn-LPP EUV light source, the most promising solution as the 13.5 nm high power (>200 W) light source for HVM EUV lithography. Because of its high efficiency, power scalability and spatial freedom around plasma, we believe that the CO2-Sn-LPP scheme is the most feasible candidate as the light source for EUVL. By now, our group has proposed several unique original technologies such as CO2 laser driven Sn plasma generation, double laser pulse shooting for higher Sn ionization rate and higher CE, Sn debris mitigation with a magnetic field, and a hybrid CO2 laser system that is a combination of a short pulse oscillator and commercial cw-CO2 amplifiers. The theoretical and experimental data have clearly demonstrated the advantage of combining a laser beam at a wavelength of the CO2 laser system with Sn plasma to achieve high CE from driver laser pulse energy to EUV in-band energy. Combination of CO2 laser power and droplet generator improvements on new EUV chamber (Proto-2) enables stable EUV emission. EUV burst operation data shows stable average 10.2W(clean power @ I/F) EUV emission and maximum 20.3W(clean power @ I/F) was demonstrated. For future HVM the maximum of 4.7% CE with a 20 μm droplet are demonstrated by ps pre-pulse LPP. Also reported 40kW CO2 laser development project cooperate with Mitsubishi electric.


Proceedings of SPIE | 2015

Performance of one hundred watt HVM LPP-EUV source

Hakaru Mizoguchi; Hiroaki Nakarai; Tamotsu Abe; Krzysztof Nowak; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Tsukasa Hori; Takeshi Kodama; Yutaka Shiraishi; Tatsuya Yanagida; Georg Soumagne; Tsuyoshi Yamada; Taku Yamazaki; Shinji Okazaki; Takashi Saitou

We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - “GL200E”. This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.


23rd Annual International Symposium on Microlithography | 1998

High-spectral-purity and high-durability kHz KrF excimer laser with advanced rf preionization discharge

Tatsuo Enami; Osamu Wakabayashi; Toshihiro Nishisaka; Natsushi Suzuki; Takashi Nire; Hakaru Mizoguchi; Hiroaki Nakarai; Hirokazu Tanaka; Tatsuya Ariga; Kouji Shio; Takeshi Okamoto; Ryoichi Nodomi; Hitoshi Tomaru; Kiyoharu Nakao

We present the performance and durability of the newest model of the KrF excimer laser for microlithography KLES-G10K. The laser achieves 10 W of output power with 0.7 pm bandwidth at 1000 Hz with newly developed solid state pulsed power module and the high precise narrowing module. The durability of laser tube achieves 5 billion pulses with the new radio frequency preionization scheme, which reduces consumption of fluorine gas and maintenance of laser tube drastically.


Proceedings of SPIE | 2008

High-power and high-energy stability injection lock laser light source for double exposure or double patterning ArF immersion lithography

Masaya Yoshino; Hiroaki Nakarai; Takeshi Ohta; Hitoshi Nagano; Hiroshi Umeda; Yasufumi Kawasuji; Toru Abe; Ryoichi Nohdomi; Toru Suzuki; Satoshi Tanaka; Yukio Watanabe; Taku Yamazaki; Shinji Nagai; Osamu Wakabayashi; Takashi Matsunaga; Kouji Kakizaki; Junichi Fujimoto; Hakaru Mizoguchi

ArF immersion technology is spotlighted as the enabling technology for below 45nm node. Recently, double exposure technology is also considered for below 32nm node. We have already released an injection lock ArF excimer laser with ultra-line narrowed and stabilized spectrum performance: GT61A (60W/6kHz/ 10mJ/0.35pm) to ArF immersion market in Q4 2006. The requirements are: i) higher power ii) lower cost of downtime for higher throughput iii) greater wavelength stability for improved overlay and iv) increased lifetimes for lower operation costs. We have developed high power and high energy stability injection lock ArF excimer laser for double patterning: GT62A (90W/6000Hz/15mJ/0.35pm) based on the technology of GT61A and the reliability of GigaTwin (GT) platform. A high power operation of 90W is realized by development of high durability optical elements. Durability of the new optics is at least 3 times as long as that of the conventional optics used in the GT61A. The energy stability is improved more than 1.5 times of performance in the GT61A by optimizing laser operational conditions of the power oscillator. This improvement is accomplished by extracting potential efficiency of injection lock characteristic. The lifetime of power oscillator, which is one of the major parts in cost of ownership, is maintained by using higher output of the power supply.


Proceedings of SPIE, the International Society for Optical Engineering | 1996

High power KrF excimer laser with a solid state switch for microlithography

Hakaru Mizoguchi; Noritoshi Ito; Hiroaki Nakarai; Yukio Kobayashi; Yasuo Itakura; Hiroshi Komori; Osamu Wakabayashi; Taketo Aruga; Takashi Sakugawa; Takehisa Koganezawa

New KrF excimer laser for microlithography KLES-G7 with a new simple solid state pulsed power circuit (SPC) is developed. This SPC has several advantages such as less maintenance cost and the higher reliability. The laser realizes 7.5W with 0.8 bandwidth, 600 Hz, 10mJ. The performance and the stability of the laser is demonstrated. The maintenance interval of the SPC is more than 10 X 109 pulse. The KLES-G7 reduces 20 percent of the photon cost compared with the old model. It will accelerate the mass production of after 64Mbit DRAM.


Proceedings of SPIE | 2016

Performance of new high-power HVM LPP-EUV source

Hakaru Mizoguchi; Hiroaki Nakarai; Tamotsu Abe; Krzysztof Nowak; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Tsukasa Hori; Takeshi Kodama; Yutaka Shiraishi; Tatsuya Yanagida; Tsuyoshi Yamada; Taku Yamazaki; Shinji Okazaki; Takashi Saitou

We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL since 2003. Unique original technologies such as; combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulse shooting and mitigation with magnetic field have been developed in Gigaphoton Inc.. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. We demonstrated 108W EUV power (I/F clean in burst), 80 kHz, 24 hours stable operation at Proto#2 device. Based on these experimental data we are now constructing first practical source for HVM; “GL200E-Pilot#1”. Target of this device is 250 W EUV power by 27 kW pulsed CO2 driver laser system.


Proceedings of SPIE | 2014

Sub-hundred Watt operation demonstration of HVM LPP-EUV source

Hakaru Mizoguchi; Hiroaki Nakarai; Tamotsu Abe; Takeshi Ohta; Krzysztof Nowak; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Tsukasa Hori; Takeshi Kodama; Yutaka Shiraishi; Tatsuya Yanagida; Tsuyoshi Yamada; Taku Yamazaki; Shinji Okazaki; Takashi Saitou

Since 2002, we have been developing a CO2-Sn-LPP EUV light source, the most promising solution as the 13.5 nm high power (>200 W) light source for HVM EUV lithography. Because of its high efficiency, power scalability and spatial freedom around plasma. Our group has proposed several unique original technologies; 1) CO2 laser driven Sn plasma generation, 2) Double laser pulse shooting for higher Sn ionization rate and higher CE. 3) Sn debris mitigation with a magnetic field, 4) Hybrid CO2 laser system that is scalable with a combination of a short pulse oscillator and commercial cw-CO2 amplifiers. 5) High efficient out of band light reduction with grating structured C1 mirror. In past paper we demonstrated in small size (2Hz) experimental device, this experiment shoed the advantage of combining a laser beam at a wavelength of the CO2 laser system with Sn plasma to achieve high CE>4.7% (in maximum) from driver laser pulse energy to EUV in-band energy 1). In this paper we report the further updated results from last paper. (1) 20um droplets at 100kHz operation was successfully ejected by downsized nozzle and demonstrated dramatical improvement of debris on the collector mirror. We have been developing extension of high CE operation condition at 20kHz range, We have reported component technology progress of EUV light source system. (2)New generation collector mirror with IR reduction technology is equipped in mirror maker. (3)20kW CO2 laser amplifier system is demonstrated cooperate with Mitsubishi electric. (4) We develop new Proto #2 EUV LPP source system and demonstrated 200W EUV plasma power (43W EUV clean power at I/F ) at 100kHz operation was confirmed. (5) High conversion efficiency (CE) of 3.9% at 20kHz operation was confirmed in using pico-second pre-pulse laser. (6)Improvement of CO2 laser power from 8kW to 12kW is now on going by installation of new pre-amplifier. (7)Power-up scenario of HVM source is reported, target shipment of first customer beta LPP light source unit is 2015.


Proceedings of SPIE | 2007

Reliable high power injection locked 6 kHz 60W laser for ArF immersion lithography

Takahito Kumazaki; Toru Suzuki; Satoshi Tanaka; Ryoichi Nohdomi; Masaya Yoshino; Shinichi Matsumoto; Yasufumi Kawasuji; Hiroshi Umeda; Hitoshi Nagano; Kouji Kakizaki; Hiroaki Nakarai; Takashi Matsunaga; Junichi Fujimoto; Hakaru Mizoguchi

Reliable high power 193nm ArF light source is desired for the successive growth of ArF-immersion technology for 45nm node generation. In 2006, Gigaphoton released GT60A, high power injection locked 6kHz/60W/0.5pm (E95) laser system, to meet the demands of semiconductor markets. In this paper, we report key technologies for reliable mass production GT laser systems and GT60A high durability performance test results up to 20 billion pulses.


Advanced Optical Technologies | 2015

Performance of 100-W HVM LPP-EUV source

Hakaru Mizoguchi; Hiroaki Nakarai; Tamotsu Abe; Krzysztof Nowak; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Tsukasa Hori; Takeshi Kodama; Yutaka Shiraishi; Tatsuya Yanagida; Georg Soumagne; Tsuyoshi Yamada; Taku Yamazaki; Shinji Okazaki; Takashi Saitou

Abstract At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, ‘GL200E’. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.


SPIE'S 1993 Symposium on Microlithography | 1993

Stability of krypton fluoride laser in real stepper mode operation

Masahiko Kowaka; Yukio Kobayashi; Osamu Wakabayashi; Noritoshi Ito; Junichi Fujimoto; Takanobu Ishihara; Hiroaki Nakarai; Hakaru Mizoguchi; Yoshiho Amada; Yasuhiro Nozue

The stable performance of the line-narrowed Krypton Fluoride Excimer Laser for production steppers, the KLES-G6, in real stepper mode operation is presented. Wavelength stability of < +/- 0.1 pm and pulse-to-pulse energy stability of < 2% ((sigma) ) were achieved in the real stepper mode operation. Further the durability test was made at 6 W(10 mJ, 600 Hz) in 1 sec. ON - 1 sec. OFF (50%) burst mode and in cw mode. The central wavelength stability < +/- 0.2 pm including the drift at the head of burst, spectral bandwidth < 1.5 pm, and pulse-to-pulse energy stability < 2.5% ((sigma) ) over 1.6 X 109 shots were achieved. The KLES-G6 will assist us to utilize the excimer stepper in real commercial production successfully.

Collaboration


Dive into the Hiroaki Nakarai's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge