Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hiroaki Niimi is active.

Publication


Featured researches published by Hiroaki Niimi.


international electron devices meeting | 2016

A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channels

R. Xie; Pietro Montanini; Kerem Akarvardar; Neeraj Tripathi; Balasubramanian S. Haran; S. Johnson; Terence B. Hook; B. Hamieh; D. Corliss; Junli Wang; X. Miao; J. Sporre; Jody A. Fronheiser; Nicolas Loubet; M. Sung; S. Sieg; Shogo Mochizuki; Christopher Prindle; Soon-Cheon Seo; Andrew M. Greene; Jeffrey Shearer; A. Labonte; S. Fan; L. Liebmann; Robin Chao; A. Arceo; Kisup Chung; K. Y. Cheon; Praneet Adusumilli; H.P. Amanapu

We present a 7nm technology with the tightest contacted poly pitch (CPP) of 44/48nm and metallization pitch of 36nm ever reported in FinFET technology. To overcome optical lithography limits, Extreme Ultraviolet Lithography (EUV) has been introduced for multiple critical levels for the first time. Dual strained channels have been also implemented to enhance mobility for high performance applications.


symposium on vlsi technology | 2016

Ti and NiPt/Ti liner silicide contacts for advanced technologies

Praneet Adusumilli; Emre Alptekin; Mark Raymond; Nicolas L. Breil; F. Chafik; Christian Lavoie; D. Ferrer; S. Jain; V. Kamineni; Ahmet S. Ozcan; S. Allen; J. J. An; V. S. Basker; R. Bolam; Huiming Bu; Jin Cai; J. Demarest; Bruce B. Doris; E. Engbrecht; S. Fan; J. Fronheiser; Oleg Gluschenkov; Dechao Guo; B. Haran; D. Hilscher; Hemanth Jagannathan; D. Kang; Y. Ke; J. Kim; Siyuranga O. Koswatta

We discuss the transition to Ti based silicides for source-drain (SD) contacts for 3D FinFET devices starting from the 14nm node & beyond. Reductions in n-FET & p-FET contact resistances are reported with the optimization of metallization process & dopant concentrations. The optimization of SiGe epitaxy and addition of a thin interfacial NiPt(10%) are found to significantly improve p-FET contact performance.


international conference on simulation of semiconductor processes and devices | 2015

Specific contact resistivity of n-type Si and Ge M-S and M-I-S contacts

Jiseok Kim; Phillip J. Oldiges; Hui-feng Li; Hiroaki Niimi; Mark Raymond; Peter Zeitzoff; Vimal Kamineni; Praneet Adusumilli; Chengyu Niu; F. Chafik

We have theoretically investigated the specific contact resistivity of n-type Si and Ge metal-insulator-semiconductor contacts with various insulating oxides. We have found a significant reduction of the contact resistivity for both Si and Ge with an insertion of insulators at low and moderate donor doping levels. However, at the higher doping levels (>1020 cmu-3), the reduction of the contact resistivity is negligible and the contact resistivity increases as the insulator thickness increase. Thus, we have shown that the lowest possible contact resistivity can be achieved with the metal-semiconductor contact with highest possible activated doping density.


IEEE Electron Device Letters | 2016

Sub-

Hiroaki Niimi; Zuoguang Liu; Oleg Gluschenkov; Shogo Mochizuki; Jody A. Fronheiser; Juntao Li; J. Demarest; Chen Zhang; B. Liu; Jie Yang; Mark Raymond; Bala Haran; Huiming Bu; Tenko Yamashita

We report record low 8.4 × 10<sup>-10</sup> Ω-cm<sup>2</sup> n-type S/D contact resistivity with laser-induced solid/liquid phase epitaxy of Si:P inside nano-scale contact trenches. Significant reduction of device resistance and resultant great gain of drain current has been demonstrated in scaled n-FinFETs with a contact length of 20 nm.


international electron devices meeting | 2016

10^{-9}~\Omega

Oleg Gluschenkov; Zuoguang Liu; Hiroaki Niimi; Shogo Mochizuki; Jody A. Fronheiser; X. Miao; J. Li; J. Demarest; Chen Zhang; Chengyu Niu; B. Liu; A. Petrescu; Praneet Adusumilli; Jie Yang; Hemanth Jagannathan; Huiming Bu; Tenko Yamashita

We achieved mid-10<sup>−10</sup> Ω-cm<sup>2</sup> n-type S/D contact resistivity (npc) and 1.9×10<sup>−9</sup> Ω-cm<sup>2</sup> p-type S/D contact resistivity (ppc) by employing laser-induced liquid or solid phase epitaxy (LPE/SPE) of Si:P and Ge:Group-III-Metal metastable alloys inside nano-scale contact trenches. The Ge: Group-III-Metal alloy allows for a metal-Ge Fermi level pinning effect to lower Schottky barrier height (SBH) while reducing both bulk and unipolar heterojunction resistances. Correspondingly, large Ron reduction and Id gain have been realized in scaled n- and p-FinFETs with the contact length of less than 20nm.


international electron devices meeting | 2016

-cm2 n-Type Contact Resistivity for FinFET Technology

Gen Tsutsui; Ruqiang Bao; Kwan-yong Lim; Robert R. Robison; Reinaldo A. Vega; Jie Yang; Zuoguang Liu; Miaomiao Wang; Oleg Gluschenkov; Chun Wing Yeung; Koji Watanabe; Steven Bentley; Hiroaki Niimi; Derrick Liu; Huimei Zhou; Shariq Siddiqui; Hoon Kim; Rohit Galatage; Rajasekhar Venigalla; Mark Raymond; Praneet Adusumilli; Shogo Mochizuki; Thamarai S. Devarajan; Bruce Miao; B. Liu; Andrew M. Greene; Jeffrey Shearer; Pietro Montanini; Jay W. Strane; Christopher Prindle

Low Ge content SiGe-based CMOS FinFET is one of the promising technologies [1-2] offering solutions for both high performance and low power applications. In this paper, we established a competitive SiGe-based CMOS FinFET baseline and examined various elements for high performance offering. The performance elements in gate stack, channel doping, contact resistance, and junction have been explored to provide a cumulative 20% / 25% (n/pFET) performance enhancement. These elements provide a viable path towards performance enhancement for future technology nodes.


symposium on vlsi technology | 2017

FinFET performance with Si:P and Ge:Group-III-Metal metastable contact trench alloys

Zuoguang Liu; Oleg Gluschenkov; Hiroaki Niimi; B. Liu; Juntao Li; J. Demarest; Shogo Mochizuki; Praneet Adusumilli; Mark Raymond; A. Carr; Shaoyin Chen; Yun Wang; Hemanth Jagannathan; Tenko Yamashita

Introduction of a dual beam (DB) millisecond (mSec) or nanosecond (nSec laser annealing in contact module results in a drastic reduction of contact resistivity. Dependence of this benefit on laser annealing parameters is detailed. The annealing power/temperature condition needed for initiating solid or liquid phase epitaxy (SPE, LPE defines a lower process boundary, while impact of laser annealing on transistor parameters, such as Vt and gate stack, defines an upper process boundary and translates to with-in-die (WID Vt variation. Combining DB laser annealing technique with process-friendly layouts enables contact resistance benefit without degrading product level variability.


advanced semiconductor manufacturing conference | 2016

Technology viable DC performance elements for Si/SiGe channel CMOS FinFTT

Chengyu C. Niu; Mark Raymond; Vimal Kamineni; Jody A. Fronheiser; Shariq Siddiqui; Hiroaki Niimi; J. M. Dechene; A. Labonte; Praneet Adusumilli; A. Carr; Jeffrey Shearer; J. Demarest; L. Jiang; J. Li; R.W. Hengstebeck

Contact engineering of Ge-rich source/drain is of critical importance for the development of advanced nano-scale CMOS technology nodes. Germanosilicide or Germanide contacts with low Schottky barrier height are highly desirable to achieve low contact resistance for a Ge-rich source/drain. However, practical integration of Ge-rich SiGe into devices is complicated by its unique physical and chemical properties as compared to Si-rich epitaxial SiGe. We have observed significant erosion along the SiGe interface with its dielectric cap layer. The N2-H2 remote plasma resist strip process has been shown to trigger this erosion when GeO2 exists together with SiO2 at the interface. The integrity of Ge-rich SiGe contact interface can be preserved by replacing the N2-H2 remote plasma resist strip with an O2-based photoresist ash process. Cross-sectional STEM and EDX elemental analysis have confirmed Germanide and Germanosilicide formation at the Ge-rich SiGe contact interface.


Archive | 2016

Dual beam laser annealing for contact resistance reduction and its impact on VLSI integrated circuit variability

Hiroaki Niimi; Ruilong Xie


Archive | 2016

Interface preservation during Ge-rich source/drain contact formation

Hiroaki Niimi; Ruilong Xie

Collaboration


Dive into the Hiroaki Niimi's collaboration.

Researchain Logo
Decentralizing Knowledge