Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mark Raymond is active.

Publication


Featured researches published by Mark Raymond.


Journal of Applied Physics | 2010

Optical metrology of Ni and NiSi thin films used in the self-aligned silicidation process

Vimal Kamineni; Mark Raymond; Eric Bersch; B. B. Doris; Alain C. Diebold

The thickness-dependent optical properties of nickel metal and nickel monosilicide (NiSi) thin films, used for self-aligned silicidation process, were characterized using spectroscopic ellipsometry. The thickness-dependent complex dielectric function of nickel metal films is shown to be correlated with the change in Drude free electron relaxation time. The change in relaxation time can be traced to the change in grain boundary (GB) reflection coefficient and grain size. A resistivity based model was used as the complementary method to the thickness-dependent optical model to trace the change in GB reflection coefficient and grain size. After silicidation, the complex dielectric function of NiSi films exhibit non-Drude behavior due to superimposition of interband absorptions arising at lower frequencies. The Optical models of the complete film stack were refined using x-ray photoelectron spectroscopy, Rutherford backscattered spectroscopy, and x-ray reflectivity (XRR).


international electron devices meeting | 2016

A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channels

R. Xie; Pietro Montanini; Kerem Akarvardar; Neeraj Tripathi; Balasubramanian S. Haran; S. Johnson; Terence B. Hook; B. Hamieh; D. Corliss; Junli Wang; X. Miao; J. Sporre; Jody A. Fronheiser; Nicolas Loubet; M. Sung; S. Sieg; Shogo Mochizuki; Christopher Prindle; Soon-Cheon Seo; Andrew M. Greene; Jeffrey Shearer; A. Labonte; S. Fan; L. Liebmann; Robin Chao; A. Arceo; Kisup Chung; K. Y. Cheon; Praneet Adusumilli; H.P. Amanapu

We present a 7nm technology with the tightest contacted poly pitch (CPP) of 44/48nm and metallization pitch of 36nm ever reported in FinFET technology. To overcome optical lithography limits, Extreme Ultraviolet Lithography (EUV) has been introduced for multiple critical levels for the first time. Dual strained channels have been also implemented to enhance mobility for high performance applications.


FRONTIERS OF CHARACTERIZATION AND METROLOGY FOR NANOELECTRONICS: 2009 | 2009

Thickness Measurement of Thin‐metal Films by Optical Metrology

Vimal Kamineni; Mark Raymond; Eric Bersch; Bruce B. Doris; Alain C. Diebold

Spectroscopic ellipsometry (SE) and resistivity measurements were used to characterize Nickel‐metal films used for self‐aligned silicidation process. Variable angle spectroscopic ellipsometer (VASE) in the VUV range of wavelengths was used to measure the thickness and optical properties of Nickel films. The thickness‐dependent optical properties of thin‐metal films are shown to be correlated to the change in electron relaxation time and resistivity. The change in electron relaxation time and resistivity can be traced to the change in grain boundary reflection coefficient and grain size. X‐ray photoelectron spectroscopy (XPS) data aided with sputtering is used to show the evidence of the various stack layers that would be used in the VASE modeling. X‐ray reflectivity (XRR) and Rutherford backscattered spectroscopy (RBS) measurements on thin‐metal films were performed to complement the thickness measurements made with SE.


international interconnect technology conference | 2016

Tungsten and cobalt metallization: A material study for MOL local interconnects

Vimal Kamineni; Mark Raymond; Shariq Siddiqui; S. Tsai; C. Niu; A. Labonte; Cathy Labelle; Susan Su-Chen Fan; Brown Peethala; Praneet Adusumilli; Raghuveer Patlolla; Deepika Priyadarshini; Yann Mignot; A. Carr; S. Pancharatnam; J. Shearer; C. Surisetty; John C. Arnold; Donald F. Canaperi; Balasubramanian S. Haran; H. Jagannathan; F. Chafik; B. L'Herron

Middle-of-the-line (MOL) interconnect and contact resistances represent significant impacts to high-end IC performance at ≤ 10 nm nodes. CVD W-based metallization has been used for all nodes since the inception of damascene. However, it is now being severely challenged due to limited scaling of the traditional PVD Ti/CVD TiN barrier and ALD nucleation layers. This study reports the use of alternate barriers, along with metal-to-metal contact interface cleans, to reduce contact resistance for W-based MOL metallization. As well, we report the first use of Co metal for MOL contacts and local interconnects, with successful integration below a Cu BEOL dual damascene V0/M1 module. Metal line resistances are compared among the various options, and the challenges with each option are highlighted.


international soi conference | 2011

Analysis of parasitic resistance in double gate FinFETs with different fin lengths

X. Yang; Kingsuk Maitra; Chun-Chen Yeh; P. Zeitzoff; Mark Raymond; Pranita Kulkarni; Miaomiao Wang; Tenko Yamashita; Veeraraghavan S. Basker; Theodorus E. Standaert; S. Samavedam; Huiming Bu; Roderick Miller

A significant increase in parasitic resistance (R<inf>PARA</inf>) fluctuation is observed when S/D length is getting smaller than the characteristic length (L<inf>TRANS</inf>). Resistance change evaluated on double gate finFETs with various fin lengths shows an excellent agreement between the experimental data and the analytical model. Further R<inf>PARA</inf> fluctuation improvement can be realized by optimizing the L<inf>TRANS</inf>.


symposium on vlsi technology | 2016

Ti and NiPt/Ti liner silicide contacts for advanced technologies

Praneet Adusumilli; Emre Alptekin; Mark Raymond; Nicolas L. Breil; F. Chafik; Christian Lavoie; D. Ferrer; S. Jain; V. Kamineni; Ahmet S. Ozcan; S. Allen; J. J. An; V. S. Basker; R. Bolam; Huiming Bu; Jin Cai; J. Demarest; Bruce B. Doris; E. Engbrecht; S. Fan; J. Fronheiser; Oleg Gluschenkov; Dechao Guo; B. Haran; D. Hilscher; Hemanth Jagannathan; D. Kang; Y. Ke; J. Kim; Siyuranga O. Koswatta

We discuss the transition to Ti based silicides for source-drain (SD) contacts for 3D FinFET devices starting from the 14nm node & beyond. Reductions in n-FET & p-FET contact resistances are reported with the optimization of metallization process & dopant concentrations. The optimization of SiGe epitaxy and addition of a thin interfacial NiPt(10%) are found to significantly improve p-FET contact performance.


international conference on simulation of semiconductor processes and devices | 2015

Specific contact resistivity of n-type Si and Ge M-S and M-I-S contacts

Jiseok Kim; Phillip J. Oldiges; Hui-feng Li; Hiroaki Niimi; Mark Raymond; Peter Zeitzoff; Vimal Kamineni; Praneet Adusumilli; Chengyu Niu; F. Chafik

We have theoretically investigated the specific contact resistivity of n-type Si and Ge metal-insulator-semiconductor contacts with various insulating oxides. We have found a significant reduction of the contact resistivity for both Si and Ge with an insertion of insulators at low and moderate donor doping levels. However, at the higher doping levels (>1020 cmu-3), the reduction of the contact resistivity is negligible and the contact resistivity increases as the insulator thickness increase. Thus, we have shown that the lowest possible contact resistivity can be achieved with the metal-semiconductor contact with highest possible activated doping density.


IEEE Electron Device Letters | 2016

Sub-

Hiroaki Niimi; Zuoguang Liu; Oleg Gluschenkov; Shogo Mochizuki; Jody A. Fronheiser; Juntao Li; J. Demarest; Chen Zhang; B. Liu; Jie Yang; Mark Raymond; Bala Haran; Huiming Bu; Tenko Yamashita

We report record low 8.4 × 10<sup>-10</sup> Ω-cm<sup>2</sup> n-type S/D contact resistivity with laser-induced solid/liquid phase epitaxy of Si:P inside nano-scale contact trenches. Significant reduction of device resistance and resultant great gain of drain current has been demonstrated in scaled n-FinFETs with a contact length of 20 nm.


international interconnect technology conference | 2017

10^{-9}~\Omega

Susan Su-Chen Fan; James Chen; Vimal Kamineni; Xunyuan Zhang; Mark Raymond; Cathy Labelle

In this paper, a study on MOL (middle-of-the-line) RC performance and optimization of MOL resistance at both source/drain contact and local interconnect level at 7 nm node is presented. We focus on the device delay from 10 nm node to 7 nm node using a single stage driver circuit. The device delay is calculated based on a real 10 nm FINFET device. Then the result is compared with a shrunk version of the circuit at the 7 nm dimension. Therefore, using this model the impact of the MOL on the circuit performance can be determined. By using a liner-free W (tungsten) metallization at source drain contact level and Co (cobalt) or Ru (ruthenium) metallization in the MOL local interconnect level, a 45% reduction in MOL resistance was obtained which is crucial to achieve a better 7 nm MOL performance over the 10 nm node.


international electron devices meeting | 2016

-cm2 n-Type Contact Resistivity for FinFET Technology

Gen Tsutsui; Ruqiang Bao; Kwan-yong Lim; Robert R. Robison; Reinaldo A. Vega; Jie Yang; Zuoguang Liu; Miaomiao Wang; Oleg Gluschenkov; Chun Wing Yeung; Koji Watanabe; Steven Bentley; Hiroaki Niimi; Derrick Liu; Huimei Zhou; Shariq Siddiqui; Hoon Kim; Rohit Galatage; Rajasekhar Venigalla; Mark Raymond; Praneet Adusumilli; Shogo Mochizuki; Thamarai S. Devarajan; Bruce Miao; B. Liu; Andrew M. Greene; Jeffrey Shearer; Pietro Montanini; Jay W. Strane; Christopher Prindle

Low Ge content SiGe-based CMOS FinFET is one of the promising technologies [1-2] offering solutions for both high performance and low power applications. In this paper, we established a competitive SiGe-based CMOS FinFET baseline and examined various elements for high performance offering. The performance elements in gate stack, channel doping, contact resistance, and junction have been explored to provide a cumulative 20% / 25% (n/pFET) performance enhancement. These elements provide a viable path towards performance enhancement for future technology nodes.

Collaboration


Dive into the Mark Raymond's collaboration.

Researchain Logo
Decentralizing Knowledge