Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hiroaki Tsushima is active.

Publication


Featured researches published by Hiroaki Tsushima.


Proceedings of SPIE | 2013

Power up: 120 Watt injection-locked ArF excimer laser required for both multi-patterning and 450 mm wafer lithography

Takeshi Asayama; Youichi Sasaki; Takayuki Nagashima; Akihiko Kurosu; Hiroaki Tsushima; Takahito Kumazaki; Kouji Kakizaki; Takashi Matsunaga; Hakaru Mizoguchi

193nm ArF excimer lasers are widely used as light sources for the lithography process of semiconductor production. At first, ArF excimer lasers have been used in semiconductor productions at the 90nm node and recently ArF excimer lasers have begun to be used for the 32nm node, by the progress in the immersion technology and the double-patterning technology. Furthermore, considering current status of development of the lithography technology using a next-generation light source, or extreme ultraviolet (EUV) light source, the start of mass production with the next-generation light source is estimated to start from 2015. Therefore, there is a need for extension of 193nm immersion lithography technology. By using the multi-patterning and double-patterning technology, design rules below limit at single exposure is possible. However, throughput is reduced due to increased lithography processes. In order to improve a decrease in throughput, a high power ArF excimer laser and larger size wafer (450mm in diameter) is needed. We have developed a new high power laser with the concept of eco-friendly. In this paper, we will introduce technologies used for our latest ArF excimer laser having tunable output power between 90W and 120W and report its performance data.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

GT40A: durable 45-W ArF injection-lock laser light source for dry/immersion lithography

Satoshi Tanaka; Hiroaki Tsushima; Takanori Nakaike; Taku Yamazaki; Takashi Saito; Hitoshi Tomaru; Koji Kakizaki; Takashi Matsunaga; Toru Suzuki; Osamu Wakabayashi; Shinji Nagai; Junichi Fujimoto; Toyoharu Inoue; Hakaru Mizoguchi

Last year Gigaphoton introduced a 45-W ArF excimer laser, model GT40A, to semiconductor markets as a light source for 65 nm lithography generation. The GT40A is based on injection lock technology with G-electrode, magnetic bearing and high resolution technologies for high reliability and long lifetime. As a result, GT40A showed the stable performance during the chamber maintenance interval of over 15 billion pulses. In this paper we will report the longterm stability of GT40A.


Proceedings of SPIE | 2011

Ecology and high-durability injection locked laser with flexible power for double-patterning ArF immersion lithography

Hiroshi Umeda; Hiroaki Tsushima; Hidenori Watanabe; Satoshi Tanaka; Masaya Yoshino; Shinich Matsumoto; H. Tanaka; Akihiko Kurosu; Yasufumi Kawasuji; Takashi Matsunaga; Junichi Fujimoto; Hakaru Mizoguchi

ArF immersion technology has been used widely in volume production for 45nm node. For 32nm node and beyond, double patterning technology with ArF immersion lithography is considered to be the main stream solution until EUV is ready. Our target is to reduce CoO(Cost of ownership) and we aim to develop for ecology and high durability laser. We will introduce the latest performance data of the laser built for ArF immersion lithography under the EcoPhoton concept. Eco-photon concept: -CoC (Cost of Consumable) -CoD (Cost of Downtime) -CoE(Cost of Energy & Environment) We have developed flexible and high power injection-lock ArF excimer laser for double patterning, GT62A-1SxE (Max90W/6000Hz/Flexible power with 10-15mJ/0.30pm (E95)) based on the GigaTwin platform5). A number of innovative and unique technologies are implemented on GT62A-1SxE. In addition, GT62A-1SxE is the laser matching the enhancement technology of advanced illumination systems. For example, in order to provide illumination power optimum for resist sensitivity, it has extendable power from 60W to 90W. We have confirmed durability under these concept with the regulated operation condition with flexible power 60-90W. We show the high durability data of GT62A-1SxE with Eco-Photon concept. In addition to the results the field reliability and availability of our Giga Twin series (GT6XA). We also show technologies which made these performances and its actual data. A number of innovative and unique technologies are implemented on GT62A.


26th Annual International Symposium on Microlithography | 2001

Ultranarrow-bandwidth 4-kHz ArF excimer laser for 193-nm lithography

Takashi Saito; Takashi Matsunaga; Ken-ichi Mitsuhashi; Katsutomo Terashima; Takeshi Ohta; Akifumi Tada; Takanobu Ishihara; Masaya Yoshino; Hiroaki Tsushima; Tatsuo Enami; Hitoshi Tomaru; Tatsushi Igarashi

We have developed a 4-kHz ArF excimer laser with ultra-narrow bandwidth, which is applicable to high-NA scanners for sub-0.13-micrometers microlithography. In this paper, we describe a 4-kHz ArF excimer laser for mass production: the model G40A, which has an output power of 20 W and energy dose stability of less than +/- 0.3% (20-ms window) at 4 kHz. This dose stability is comparable to the performance of an existing 2-kHz ArF excimer laser, the model G20A. The new laser also has the following specifications: a long pulse duration of over 40 ns, spectral bandwidth of less than 0.35 pm (FWHM), and spectral purity of less than 1.0 pm (95%). These characteristics are better than those of the G20A. A lifetime test of over 7 billion pulses has been conducted at 4-kHz operation. The new laser has maintained an energy dose stability of less than +/- 0.3% (20-ms windows) and demonstrated performance suitable for mass production even after over 7 billion pulses.


Proceedings of SPIE | 2010

Flexible and reliable high power injection locked laser for double exposure and double patterning ArF immersion lithography

Masaya Yoshino; Hiroshi Umeda; Hiroaki Tsushima; Hidenori Watanabe; Satoshi Tanaka; Shinich Matsumoto; Takashi Onose; Hiroyuki Nogawa; Yasufumi Kawasuji; Takashi Matsunaga; Junichi Fujimoto; Hakaru Mizoguchi

ArF immersion technology is spotlighted as the enabling technology for the 45nm node and beyond. Recently, double exposure technology is also considered as a possible candidate for the 32nm node and beyond. We have already released an injection lock ArF excimer laser, the GT61A (60W/6kHz/10mJ/0.30pm) with ultra line-narrowed spectrum and stabilized spectrum performance for immersion lithography tools with N.A.>1.3, and we have been monitoring the field reliability data of our lasers used in the ArF immersion segment since Q4 2006. In this report we show field reliability data of our GigaTwin series - twin chamber ArF laser products. GigaTwin series have high reliability. The availability that exceeds 99.5% proves the reliability of the GigaTwin series. We have developed tunable and high power injection-lock ArF excimer laser for double patterning, GT62A (Max90W/6000Hz/Tunable power with 10-15mJ/0.30pm (E95)) based on the GigaTwin platform. A number of innovative and unique technologies are implemented on GT62A. - Support the latest illumination optical system - Support E95 stability and adjustability - Reduce total cost (Cost of Consumables, Cost of Downtime and Cost of Energy & Environment)


Proceedings of SPIE | 2016

The ArF laser for the next-generation multiple-patterning immersion lithography supporting green operations

Keisuke Ishida; Takeshi Ohta; Hirotaka Miyamoto; Takahito Kumazaki; Hiroaki Tsushima; Akihiko Kurosu; Takashi Matsunaga; Hakaru Mizoguchi

Multiple patterning ArF immersion lithography has been expected as the promising technology to satisfy tighter leading edge device requirements. One of the most important features of the next generation lasers will be the ability to support green operations while further improving cost of ownership and performance. Especially, the dependence on rare gases, such as Neon and Helium, is becoming a critical issue for high volume manufacturing process. The new ArF excimer laser, GT64A has been developed to cope with the reduction of operational costs, the prevention against rare resource shortage and the improvement of device yield in multiple-patterning lithography. GT64A has advantages in efficiency and stability based on the field-proven injection-lock twin-chamber platform (GigaTwin platform). By the combination of GigaTwin platform and the advanced gas control algorithm, the consumption of rare gases such as Neon is reduced to a half. And newly designed Line Narrowing Module can realize completely Helium free operation. For the device yield improvement, spectral bandwidth stability is important to increase image contrast and contribute to the further reduction of CD variation. The new spectral bandwidth control algorithm and high response actuator has been developed to compensate the offset due to thermal change during the interval such as the period of wafer exchange operation. And REDeeM Cloud™, new monitoring system for managing light source performance and operations, is on-board and provides detailed light source information such as wavelength, energy, E95, etc.


Proceedings of SPIE | 2016

The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation

Hirotaka Miyamoto; Takahito Kumazaki; Hiroaki Tsushima; Akihiko Kurosu; Takeshi Ohta; Takashi Matsunaga; Hakaru Mizoguchi

Multiple patterning ArF immersion lithography has been expected as the promising technology to satisfy tighter leading edge device requirements. A new ArF excimer laser, GT64A has been developed to cope with the prevention against rare resource shortage and the reduction of operational costs. GT64A provides the sophisticated technologies which realize the narrow spectral bandwidth with helium free operation. A helium gas purge has usually been employed due to the low refractive index variation with temperature rises within a line narrowing module(LNM). Helium is a non-renewable resource and the world’s reserves have been running out. Nitrogen gas with an affordable price has been used as an alternative purge gas of helium on the restrictive condition of low thermal loads. However, the refractive index variation of nitrogen gas is approximately ten times more sensitive to temperature rises than that of helium, and broadens a spectral bandwidth in the high duty cycle operations. The new LNM design enables heat effect in laser shooting at optical elements and mechanical components in the vicinity of an optical path to be lower. This reduces thermal wavefront deformation of a laser beam without helium gas purge within LNM, and narrows a spectrum bandwidth without helium purge. Gigaphoton proved that the new LNM enabled E95 bandwidth without control to improve a lot with nitrogen purge.


Proceedings of SPIE | 2014

Extremely long life and low-cost 193nm excimer laser chamber technology for 450mm wafer multipatterning lithography

Hiroaki Tsushima; Hisakazu Katsuumi; Hiroyuki Ikeda; Takeshi Asayama; Takahito Kumazaki; Akihiko Kurosu; Takeshi Ohta; Kouji Kakizaki; Takashi Matsunaga; Hakaru Mizoguchi

193nm ArF excimer lasers are widely used as light sources for the lithography process of semiconductor production. 193nm ArF exicmer lasers are expected to continue to be the main solution in photolithography, since advanced lithography technologies such as multiple patterning and Self-Aligned Double Patterning (SADP) are being developed. In order to apply these technologies to high-volume semiconductor manufacturing, the key is to reduce the total operating cost. To reduce the total operating cost, life extension of consumable part and reduction of power consumption are an important factor. The chamber life time and power consumption are a main factor to decide the total operating cost. Therefore, we have developed the new technology for extension of the chamber life time and low electricity consumption. In this paper, we will report the new technology to extend the life time of the laser chamber and to reduce the electricity consumption.


Proceedings of SPIE | 2012

A reliable higher power ArF laser with advanced functionality for immersion lithography

Akihiko Kurosu; Masaki Nakano; Masanori Yashiro; Masaya Yoshino; Hiroaki Tsushima; Hiroyuki Masuda; Takahito Kumazaki; Shinichi Matsumoto; Kouji Kakizaki; Takashi Matsunaga; Shinji Okazaki; Junichi Fujimoto; Hakaru Mizoguchi

193nm ArF eximer lasers are expected to continue to be the main solution in photolithography, since advanced lithography tecnologies such as Multiple patterning and Self-aligned double patterning (SADP) are being developed. In order to appliy these tecnologies to high-volume semiconductor manufactureing, the key is to contain chip manufactureing costs. Therefore, improvement on Reliability, Availability and Maintainability of ArF excimer lasers is important.[1] We works on improving productivity and reducing downtime of ArF exmer lasers, which leads to Reliability, Availability and Maintainability improvemnet. First in this paper, our focus drilling tecnique, which increases depth of focus (DoF) by spectral bandwidth tuning is introdueced. This focus drilling enables to increase DoF for isolated contact holes. and it not degrades the wafer stage speed.[2] Second, a technique which eables to reduce gas refill time to zero is introduced. This technique reduces downtime so Availavility is expected to improve. In this paper, we report these tecniques by using simulation resutls and partially experimental resutls provided by a semiconductor manufacturer.


Optical Microlithography XXXI | 2018

Next-generation ArF Laser technologies for multiple-patterning immersion lithography supporting leading edge processes

Hirotaka Miyamoto; Hiroshi Furusato; Keisuke Ishida; Hiroaki Tsushima; Akihiko Kurosu; Hiroshi Tanaka; Takeshi Ohta; Satoru Bushida; Takashi Saitou; Hakaru Mizoguchi

Multiple patterning ArF immersion lithography has been expected as the promising technology to meet tighter process control requirements. The most important features for the next generation light sources are improvement of chip yield, enhancement of productivity and reduction of operational costs for chipmakers [1][2]. One of key performance for light source is E95 bandwidth, which has become more important parameter for enhancing process margin and improving device productivity. A faster actuator to move a lens improves E95 bandwidth stability [3]. This technology enables 3σ of E95 bandwidth field average to be under 10 fm. This contributes to more precise CD control and improves device yield. Latest LNM enables E95 bandwidth to lower from the standard 300 fm to 200 fm [4]. The large shrinkage for E95 bandwidth is achieved by introducing the ingenious design in LNM. High purity E95 bandwidth improves imaging contrast and therefore increases exposure latitude. A new control algorithm enables E95 bandwidth to vary up to 450 fm, leveraging the movable lens. The combination of the new LNM, the movable lens and the new bandwidth control algorithm enables E95 bandwidth to control between 200 fm and 450 fm [5]. The tunable E95 bandwidth technology can improve process productivity for chip makers by compensating not only machine to machine difference of imaging contrast but also difference of imaging contrast between different generation scanners. The tunable E95 bandwidth technology has no influence on key laser performance indicator, dose stability, wavelength stability, E95 bandwidth stability, etc. On the other hand, to reduce downtime and operational costs for chipmakers, the lifetime of consumable modules such as a chamber and a line narrowing module (LNM) is needed to be extended. New electrodes with chamber enables chamber lifetime to extend from 60 billion pulses (Bpls) to 70 Bpls. Furthermore, new optical design in LNM enables the lifetime to extend from 60 Bpls to 110 Bpls. A new ArF excimer laser, GT65A, maximizes device yield, process productivity and minimizes the operational costs for chipmakers.

Collaboration


Dive into the Hiroaki Tsushima's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge