Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Masaya Yoshino is active.

Publication


Featured researches published by Masaya Yoshino.


Proceedings of SPIE | 2008

High-power and high-energy stability injection lock laser light source for double exposure or double patterning ArF immersion lithography

Masaya Yoshino; Hiroaki Nakarai; Takeshi Ohta; Hitoshi Nagano; Hiroshi Umeda; Yasufumi Kawasuji; Toru Abe; Ryoichi Nohdomi; Toru Suzuki; Satoshi Tanaka; Yukio Watanabe; Taku Yamazaki; Shinji Nagai; Osamu Wakabayashi; Takashi Matsunaga; Kouji Kakizaki; Junichi Fujimoto; Hakaru Mizoguchi

ArF immersion technology is spotlighted as the enabling technology for below 45nm node. Recently, double exposure technology is also considered for below 32nm node. We have already released an injection lock ArF excimer laser with ultra-line narrowed and stabilized spectrum performance: GT61A (60W/6kHz/ 10mJ/0.35pm) to ArF immersion market in Q4 2006. The requirements are: i) higher power ii) lower cost of downtime for higher throughput iii) greater wavelength stability for improved overlay and iv) increased lifetimes for lower operation costs. We have developed high power and high energy stability injection lock ArF excimer laser for double patterning: GT62A (90W/6000Hz/15mJ/0.35pm) based on the technology of GT61A and the reliability of GigaTwin (GT) platform. A high power operation of 90W is realized by development of high durability optical elements. Durability of the new optics is at least 3 times as long as that of the conventional optics used in the GT61A. The energy stability is improved more than 1.5 times of performance in the GT61A by optimizing laser operational conditions of the power oscillator. This improvement is accomplished by extracting potential efficiency of injection lock characteristic. The lifetime of power oscillator, which is one of the major parts in cost of ownership, is maintained by using higher output of the power supply.


Proceedings of SPIE | 2007

Reliable high power injection locked 6 kHz 60W laser for ArF immersion lithography

Takahito Kumazaki; Toru Suzuki; Satoshi Tanaka; Ryoichi Nohdomi; Masaya Yoshino; Shinichi Matsumoto; Yasufumi Kawasuji; Hiroshi Umeda; Hitoshi Nagano; Kouji Kakizaki; Hiroaki Nakarai; Takashi Matsunaga; Junichi Fujimoto; Hakaru Mizoguchi

Reliable high power 193nm ArF light source is desired for the successive growth of ArF-immersion technology for 45nm node generation. In 2006, Gigaphoton released GT60A, high power injection locked 6kHz/60W/0.5pm (E95) laser system, to meet the demands of semiconductor markets. In this paper, we report key technologies for reliable mass production GT laser systems and GT60A high durability performance test results up to 20 billion pulses.


Optical Microlithography XVI | 2003

Ultra line-narrowed ArF excimer laser G42A for sub-90-nm lithography generation

Takashi Saito; Toru Suzuki; Masaya Yoshino; Osamu Wakabayashi; Takashi Matsunaga; Junichi Fujimoto; Kouji Kakizaki; Taku Yamazaki; Toyoharu Inoue; Katsutomo Terashima; Tatsuo Enami; Hirotoshi Inoue; Akira Sumitani; Hitoshi Tomaru; Hakaru Mizoguchi

193-nm lithography is going to move from pre-production phase to mass production phase and its target node become narrowing from 90 nm to 65 nm. In these situations, the laser manufacture needs to provide the high durable ArF excimer laser, which has superior spectrum performance. Gigaphoton has already introduced 4 kHz ArF laser (model G41A) to 193-nm lithography market, which produce 20 W and spectrum bandwidth of 0.35 pm (FWHM). G41A has showed high reliability and long lifetime over 5 billion pulses. In this paper, we report on the 4 kHz ArF excimer laser for mass production, model G42A, which has 20 W, spectral bandwidth less than 0.3 pm (FWHM) and a spectral purity less than 0.75 pm (E95).


Proceedings of SPIE | 2011

Ecology and high-durability injection locked laser with flexible power for double-patterning ArF immersion lithography

Hiroshi Umeda; Hiroaki Tsushima; Hidenori Watanabe; Satoshi Tanaka; Masaya Yoshino; Shinich Matsumoto; H. Tanaka; Akihiko Kurosu; Yasufumi Kawasuji; Takashi Matsunaga; Junichi Fujimoto; Hakaru Mizoguchi

ArF immersion technology has been used widely in volume production for 45nm node. For 32nm node and beyond, double patterning technology with ArF immersion lithography is considered to be the main stream solution until EUV is ready. Our target is to reduce CoO(Cost of ownership) and we aim to develop for ecology and high durability laser. We will introduce the latest performance data of the laser built for ArF immersion lithography under the EcoPhoton concept. Eco-photon concept: -CoC (Cost of Consumable) -CoD (Cost of Downtime) -CoE(Cost of Energy & Environment) We have developed flexible and high power injection-lock ArF excimer laser for double patterning, GT62A-1SxE (Max90W/6000Hz/Flexible power with 10-15mJ/0.30pm (E95)) based on the GigaTwin platform5). A number of innovative and unique technologies are implemented on GT62A-1SxE. In addition, GT62A-1SxE is the laser matching the enhancement technology of advanced illumination systems. For example, in order to provide illumination power optimum for resist sensitivity, it has extendable power from 60W to 90W. We have confirmed durability under these concept with the regulated operation condition with flexible power 60-90W. We show the high durability data of GT62A-1SxE with Eco-Photon concept. In addition to the results the field reliability and availability of our Giga Twin series (GT6XA). We also show technologies which made these performances and its actual data. A number of innovative and unique technologies are implemented on GT62A.


26th Annual International Symposium on Microlithography | 2001

Ultranarrow-bandwidth 4-kHz ArF excimer laser for 193-nm lithography

Takashi Saito; Takashi Matsunaga; Ken-ichi Mitsuhashi; Katsutomo Terashima; Takeshi Ohta; Akifumi Tada; Takanobu Ishihara; Masaya Yoshino; Hiroaki Tsushima; Tatsuo Enami; Hitoshi Tomaru; Tatsushi Igarashi

We have developed a 4-kHz ArF excimer laser with ultra-narrow bandwidth, which is applicable to high-NA scanners for sub-0.13-micrometers microlithography. In this paper, we describe a 4-kHz ArF excimer laser for mass production: the model G40A, which has an output power of 20 W and energy dose stability of less than +/- 0.3% (20-ms window) at 4 kHz. This dose stability is comparable to the performance of an existing 2-kHz ArF excimer laser, the model G20A. The new laser also has the following specifications: a long pulse duration of over 40 ns, spectral bandwidth of less than 0.35 pm (FWHM), and spectral purity of less than 1.0 pm (95%). These characteristics are better than those of the G20A. A lifetime test of over 7 billion pulses has been conducted at 4-kHz operation. The new laser has maintained an energy dose stability of less than +/- 0.3% (20-ms windows) and demonstrated performance suitable for mass production even after over 7 billion pulses.


Proceedings of SPIE | 2010

Flexible and reliable high power injection locked laser for double exposure and double patterning ArF immersion lithography

Masaya Yoshino; Hiroshi Umeda; Hiroaki Tsushima; Hidenori Watanabe; Satoshi Tanaka; Shinich Matsumoto; Takashi Onose; Hiroyuki Nogawa; Yasufumi Kawasuji; Takashi Matsunaga; Junichi Fujimoto; Hakaru Mizoguchi

ArF immersion technology is spotlighted as the enabling technology for the 45nm node and beyond. Recently, double exposure technology is also considered as a possible candidate for the 32nm node and beyond. We have already released an injection lock ArF excimer laser, the GT61A (60W/6kHz/10mJ/0.30pm) with ultra line-narrowed spectrum and stabilized spectrum performance for immersion lithography tools with N.A.>1.3, and we have been monitoring the field reliability data of our lasers used in the ArF immersion segment since Q4 2006. In this report we show field reliability data of our GigaTwin series - twin chamber ArF laser products. GigaTwin series have high reliability. The availability that exceeds 99.5% proves the reliability of the GigaTwin series. We have developed tunable and high power injection-lock ArF excimer laser for double patterning, GT62A (Max90W/6000Hz/Tunable power with 10-15mJ/0.30pm (E95)) based on the GigaTwin platform. A number of innovative and unique technologies are implemented on GT62A. - Support the latest illumination optical system - Support E95 stability and adjustability - Reduce total cost (Cost of Consumables, Cost of Downtime and Cost of Energy & Environment)


Optical Microlithography XVII | 2004

Highly durable 4-kHz ArF excimer laser G42A for sub-90-nm lithography

Takashi Saito; Hirotoshi Inoue; Hitoshi Nagano; Masaya Yoshino; Osamu Wakabayashi; Ryoichi Nohdomi; Toshihiro Nishisaka; Akira Sumitani; Hitoshi Tomaru; Hakaru Mizoguchi

Mass production in 193 nm lithography is now starting and its target node is moving from 90 nm to 65 nm. The main performance requirement of ArF excimer laser in this situation is high power with ultra narrow spectrum for higher throughput. The other hand, higher reliability and lower cost of ownership (CoO) are strongly hoped in mass production because CoO of ArF excimer laser is still higher than that of KrF excimer laser. We have already reported the 4 kHz ArF excimer laser for mass production, model G42A, which has an 20 W of average power, spectral bandwidth less than 0.3 pm (FWHM) and a spectral purity less than 0.75 pm (E95). We applied some technologies to G42A for achieving the high reliability and long lifetime. As a result, G42A showed the stable performance during the lifetime of over 10 billion pulses. In this paper, we report the long-term stability of G42A. And also, we introduce the reliability data of G40A series, which is the previous 4 kHz ArF excimer laser model.


Proceedings of SPIE | 2012

A reliable higher power ArF laser with advanced functionality for immersion lithography

Akihiko Kurosu; Masaki Nakano; Masanori Yashiro; Masaya Yoshino; Hiroaki Tsushima; Hiroyuki Masuda; Takahito Kumazaki; Shinichi Matsumoto; Kouji Kakizaki; Takashi Matsunaga; Shinji Okazaki; Junichi Fujimoto; Hakaru Mizoguchi

193nm ArF eximer lasers are expected to continue to be the main solution in photolithography, since advanced lithography tecnologies such as Multiple patterning and Self-aligned double patterning (SADP) are being developed. In order to appliy these tecnologies to high-volume semiconductor manufactureing, the key is to contain chip manufactureing costs. Therefore, improvement on Reliability, Availability and Maintainability of ArF excimer lasers is important.[1] We works on improving productivity and reducing downtime of ArF exmer lasers, which leads to Reliability, Availability and Maintainability improvemnet. First in this paper, our focus drilling tecnique, which increases depth of focus (DoF) by spectral bandwidth tuning is introdueced. This focus drilling enables to increase DoF for isolated contact holes. and it not degrades the wafer stage speed.[2] Second, a technique which eables to reduce gas refill time to zero is introduced. This technique reduces downtime so Availavility is expected to improve. In this paper, we report these tecniques by using simulation resutls and partially experimental resutls provided by a semiconductor manufacturer.


Proceedings of SPIE | 2007

Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool

Toru Suzuki; Kouji Kakizaki; Takashi Matsunaga; Satoshi Tanaka; Yasufumi Kawasuji; Masashi Shimbori; Masaya Yoshino; Takahito Kumazaki; Hiroshi Umeda; Hitoshi Nagano; Shinji Nagai; Youichi Sasaki; Hakaru Mizoguchi

The GT61A ArF laser light source with ultra line narrowed spectrum, which meets the demand of hyper NA (NA > 1.3) immersion tool, is introduced. The GT61A aims at improving spectrum performance from value E95 0.5pm of GT60A. The spectrum performance 0.3pm or less was achieved by developing an ultra line narrowing module newly. Moreover, in 45nm node, since it indispensably requires OPC (optical proximity correction) and a narrower process window, improved stabilization of spectrum performances was performed by bandwidth control technology. Newly designed Bandwidth Control Module (BCM) includes high accuracy measurement module which support the narrower bandwidth range and active bandwidth control module. It also contributes to the reduction of the tool-to-tool differences of the spectrum for every light source.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

High power injection lock 6kHz 60W laser for ArF dry/wet lithography

Hakaru Mizoguchi; Toyoharu Inoue; Junichi Fujimoto; Toru Suzuki; Takashi Matsunaga; S. Sakanishi; M. Kaminishi; Yukio Watanabe; Takanori Nakaike; M. Shinbori; Masaya Yoshino; T. Kawasuji; H. Nogawa; Hiroshi Umeda; H. Taniguchi; Youichi Sasaki; J. Kinoshita; Tamotsu Abe; Hirokazu Tanaka; Hideyuki Hayashi; Kenichi Miyao; M. Niwano; Akihiko Kurosu; Masanori Yashiro; Hitoshi Nagano; T. Igarashi; Toshio Mimura; Kouji Kakizaki

Collaboration


Dive into the Masaya Yoshino's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Satoshi Tanaka

Nagaoka University of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Hiroaki Tsushima

Nagaoka University of Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge