Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hiroto Ohtake is active.

Publication


Featured researches published by Hiroto Ohtake.


Journal of Vacuum Science and Technology | 1996

Pulse–time‐modulated electron cyclotron resonance plasma discharge for highly selective, highly anisotropic, and charge‐free etching

Seiji Samukawa; Hiroto Ohtake; Tetsu Mieno

Highly selective, highly anisotropic, notch‐free, and charge‐buildup damage‐free silicon etching is performed using electron cyclotron resonance (ECR) Cl2 plasma modulated at a pulse timing of a few tens of microseconds. A large quantity of negative ions are produced in the afterglow of the pulse‐time‐modulated plasma. The decay times of electron density, electron temperature, and sheath potential are considerably reduced. This is attributable to negative‐ion generation. Furthermore, the pulse‐time‐modulated plasma reduces the time averaged sheath potential. As a result of these effects, charged particles in the sheath are drastically modified from the continuous discharge, and they should improve the selective etching in the pulsed ECR plasma and eliminate charge accumulation on the substrate. Additionally, negative‐ion generation dramatically improves the plasma potential distributions in the nonuniform ECR plasma. This technique is also suitable for large scaled etching processes.


Journal of Applied Physics | 2010

Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique

Butsurin Jinnai; Seiichi Fukuda; Hiroto Ohtake; Seiji Samukawa

UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface is not clearly understood because of the difficulty in monitoring photons during plasma processing. For this purpose, we have previously proposed an on-wafer monitoring technique for UV photons. For this study, using the combination of this on-wafer monitoring technique and a neural network, we established a relationship between the data obtained from the on-wafer monitoring technique and UV spectra. Also, we obtained absolute intensities of UV radiation by calibrating arbitrary units of UV intensity with a 126 nm excimer lamp. As a result, UV spectra and their absolute intensities could be predicted with the on-wafer monitoring. Furthermore, we developed a prediction system with the on-wafer monitoring technique to simulate UV-radiation damage in dielectric films during plasma etching. UV-induced damage in SiOC films was predicted in this study. Our prediction results of damage...


Journal of Vacuum Science & Technology B | 2005

Highly selective low-damage processes using advanced neutral beams for porous low-k films

Hiroto Ohtake; Nobuhiko Inoue; Takuya Ozaki; Seiji Samukawa; Eiichi Soda; Kazuaki Inukai

A highly selective and low-damage damascene process for porous methyl-silsesquioxane (porous MSQ, k-2.2) films has been realized using a neutral beam system we have developed. Use of a SF6 or CF4 neutral beam enables etching of porous MSQ with higher selectivity to the photoresist than what can be obtained in a conventional plasma. This is considered to be because the neutral beam eliminates exposure to ultraviolet (UV) light which enhances the resist etching. Anisotropic, low-dimension-shift damascene etching of porous MSQ is achieved through the neutral beam system. In addition, an O2 neutral beam reduces damage to the sidewall of porous MSQ during the resist ashing process. Also, a modified layer generated on porous MSQ during ashing using a H2 or H2∕N2 beam could prevent damage by UV light, which allows more effective resist ashing in a dual damascene structure of porous MSQ. Accordingly, this neutral beam system is a promising candidate for use in porous MSQ damascene processes.


Japanese Journal of Applied Physics | 1996

Simulation of a Pulse Time-Modulated Bulk Plasma in Cl2

Ayumi Yokozawa; Hiroto Ohtake; Seiji Samukawa

A simulation of a time-modulated (TM) ECR plasma in Cl 2 is presented. The behavior of electrons in the bulk plasma is simulated by taking account of diffusion of electrons from the ECR region and confinement of electrons by the sheath electric field. The positive and negative ion densities are deduced from the total number of collisions of ionization, attachment, and detachment by electrons. A simulation is performed for a plasma with a pressure of 2 mTorr, microwave power of 500 W and a pulse duration time of 100 μs. The calculated time constants of the electron density and temperature, and the saturation current ratio between the positive ions and electrons agree well with the results of probe measurements. The positive ion density shows little time variation. The negative ion density decreases in the power-on period because electron detachment from negative ions increase due to high energy electrons diffusing from the ECR region, but in the power-off period, the negative ion density significantly increases since attachment collisions increase as the electron temperature decreases. This variation in the negative ion density corresponds to the variation in etching rate of Si with pulse interval observed in a previous experiment. This indicates that negative ions contributes to the etching reaction in a TM Cl 2 plasma.


Japanese Journal of Applied Physics | 2004

Mechanical Property Control of Low-

Kenichiro Hijioka; Fuminori Ito; M. Tagami; Hiroto Ohtake; Y. Harada; Tsuneo Takeuchi; Shinobu Saito; Yoshihiro Hayashi

The dielectric constant dependence of the mechanical strength and the adhesion strength is investigated using porosity-controlled low-k films, and a material parameter is clarified to suppress the chemical mechanical polishing (CMP)-related defects in Cu damascene interconnects. Mechanical strengths such as the modulus and hardness of low-k films decreased as the dielectric constant decreased. Adhesion energy between the low-k films and an upper hard-mask layer (HM) of PECVD-SiO2 strongly depends on the dielectric constant of low-k films, while adhesion energy between the low-k films and a lower etch stop layer (ES) of SiCN shows weak dependence. It was found that the adhesion energy between the upper SiO2 and the low-k film is a critical mechanical parameter for diminishing the CMP-related defects. Introducing a porous low-k film, methylsilsesquiazane (k=2.64), with high adhesion to the HM-SiO2, we successfully fabricated single damascene copper interconnects within an acceptable limit of CMP-related defects.


IEEE Transactions on Semiconductor Manufacturing | 2008

k

Yoshihiro Hayashi; Hiroto Ohtake; Jun Kawahara; Munehiro Tada; Shinobu Saito; Naoya Inoue; Fuminori Ito; M. Tagami; Makoto Ueki; Naoya Furutake; Tsuneo Takeuchi; Hironori Yamamoto; M. Abe

High performance Cu dual-damascene (DD) interconnects without process-induced damages are developed in porous SiOCH stacks with the effective dielectric constant (keff) of 2.95, in which a carbon (C)-rich molecular-pore-stacking (MPS) SiOCH film (k = 2.5) is stacked directly on an oxygen (O)-rich porous SiOCH (k = 2.7) film. The novel etch-stopperless structure is obtained by comprehensive chemistry design of C/O ratios in the SiOCH stack and the etching plasma of an Ar/N2 /CF4 /O2 gas mixture technique. Large hydrocarbons attached to hexagonal silica backbones in the MPS-SiOCH prevent the Si-CHx bonds from oxidation during O2-plasma ashing, suppressing the C-de- pleted damage area at the DD sidewall. Combining multiresist mask process with immersion ArF photolithography, strictly controlled Cu DD interconnects with 180-nm pitched lines and 65-nm-diameter vias are obtained successfully, ready for the 300-mm fabrication.


Japanese Journal of Applied Physics | 1998

Dielectrics for Diminishing Chemical Mechanical Polishing (CMP)-Related Defects in Cu-Damascene Interconnects

Hiroto Ohtake; Seiji Samukawa; Hirokazu Oikawa; Yasunobu Nashimoto

In Al, Au and Pt metal etching processes, low etching rate and low etching selectivity are serious problems. To achieve a breakthrough in these problems, metal etching by pulse-time-modulated plasma was investigated. In particular, the Au etching rate was increased significantly in the pulsed plasma even when the ion energy decreases. However, an increase in the etching rate cannot be observed in Al etching. As a result, it is speculated that the increase in the Au etching rate is caused by the increase in the evaporation rate of Au etching products, which results from the injection of negative ions.


Journal of Physics D | 2009

Comprehensive Chemistry Designs in Porous SiOCH Film Stacks and Plasma Etching Gases for Damageless Cu Interconnects in Advanced ULSI Devices

Shigeo Yasuhara; Juhyun Chung; Kunitoshi Tajima; Hisashi Yano; Shingo Kadomura; Masaki Yoshimaru; Noriaki Matsunaga; T. Kubota; Hiroto Ohtake; Seiji Samukawa

To precisely control the dielectric constant and the structure of a low-k SiOC film, we have developed a neutral-beam-enhanced chemical vapour deposition (NBECVD) method. Using Ar NBECVD, we can precisely control the dielectric constant and the film modulus of low-k SiOC deposited on Si substrates because this method avoids precursor dissociation that results from electron collisions and UV photons in plasma. Optimizing the ratio between Si–O and Si–(CH3)x as well as the proportions of linear (two-dimensional SiOC), network and cage (three-dimensional SiOC) structures by changing the precursor, we obtained a k value of 2.2 and a reasonable modulus by using dimethyl dimethoxy silane as a precursor. Additionally, the NBECVD process is applicable as a method for damage-free super-low-k film deposition on the underlying low-k film that is sensitive to damage by the plasma.


Journal of Vacuum Science and Technology | 2008

Enhancement of Reactivity in Au Etching by Pulse-Time-Modulated Cl2 Plasma

Eiichi Soda; Seiichi Kondo; Shuichi Saito; Yoshinari Ichihashi; Aiko Sato; Hiroto Ohtake; Seiji Samukawa

The feasibility of etching Cu/low-k interconnects by using a low global warming potential CF3I plasma was studied. Low-damage etching was done and porous SiOC (p-SiOC, k<2.6) film with low roughness was produced. Exposing p-SiOC film to CF3I plasma was found to suppress the decrease in the CH3 group and the increase in the k value compared to those of conventional CF4 and C4F6 plasmas. These effects are due to the low UV intensity and small amount of F radicals of CF3I plasma. The authors also found that the etching profile of CF3I plasma was comparable with that of CF4 plasma. Since the etching selectivity (p-SiOC∕ArF photoresist) of CF3I plasma is higher than that of CF4 plasma, the remaining photoresist thickness increases after etching, thus suppressing line edge roughness (LER). The decreased LER mitigated degradation of IV and time dependent dielectric breakdown characteristics in Cu interconnects. They also found that the roughness on the bottom surface of the p-SiOC trench was reduced. These benef...


IEEE Transactions on Semiconductor Manufacturing | 2006

Structure-designable method to form super low-k SiOC film (k = 2.2) by neutral-beam-enhanced chemical vapour deposition

Hiroto Ohtake; M. Tagami; Munehiro Tada; Makoto Ueki; M. Abe; Shinobu Saito; Fuminori Ito; Yoshihiro Hayashi

Low-damage hard-mask (HM) plasma-etching technology for porous SiOCH film (k=2.6) has been developed for robust 65-nm-node Cu dual damascene interconnects (DDIs). No damage is introduced by fluorocarbon plasma etching irrespective of whether rigid (k=2.9) or porous (k=2.6) SiOCH films are used, due to the protective CF-polymer layer deposited on the etched sidewall. The etching selectivity of the SiOCH films to the inorganic HMs is kept high by controlling the radical ratio of carbon relative to oxygen in the etching plasma gas. However, oxidation damage penetrates the films from the sidewalls due to the O2 plasma used for photoresist ashing. This damage is increased by the porous structure. As a result, we developed a via-first multi-hard-mask process for the DD structure in porous SiOCH film with no exposure to O 2-ashing plasma, and we controlled the via-taper angle by RF bias during etching. We fabricated robust Cu DDIs with tapered vias in porous SiOCH film that can be applied to 65-nm-node ULSIs and beyond

Collaboration


Dive into the Hiroto Ohtake's collaboration.

Researchain Logo
Decentralizing Knowledge