Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hizuru Yamaguchi is active.

Publication


Featured researches published by Hizuru Yamaguchi.


Journal of The Electrochemical Society | 2000

Abrasive‐Free Polishing for Copper Damascene Interconnection

Seiichi Kondo; Noriyuki Sakuma; Yoshio Homma; Yasushi Goto; Naofumi Ohashi; Hizuru Yamaguchi; Nobuo Owada

A complete abrasive‐free process for fabricating copper damascene interconnection has been developed. The process is a combination of newly developed abrasive‐free polishing (AFP) of Cu and dry etching of a barrier metal layer. A new aqueous chemical polishing solution and a polyurethane polishing pad produce complete stop‐on‐barrier characteristics of Cu polishing. The AFP provides a very clean, scratch‐free, anticorrosive polished surface, and the total depth of erosion and dishing is reduced to less than one fifth of that produced by conventional slurries, even after 100% overpolishing. The barrier metal is successfully dry etched by using gas at a high selectivity ratio (more than 10) of barrier metal to . It was found that the developed AFP significantly reduces both Cu line resistance and its deviation. Moreover, AFP can also contribute to cost reduction of chemical mechanical polishing and help solve environmental problems related to waste slurries.


international reliability physics symposium | 2001

Impact of low-k dielectrics and barrier metals on TDDB lifetime of Cu interconnects

Junji Noguchi; Tatsuyuki Saito; N. Ohashi; H. Ashihara; H. Maruyama; M. Kubo; Hizuru Yamaguchi; D. Ryuzaki; Kenichi Takeda; Kenji Hinode

Time-dependent dielectric breakdown (TDDB) in Cu metallization and the dependence on the presence of barrier metal, barrier metal thickness, the kind of barrier metals and the low-k dielectrics, is investigated. There is a distinct difference in TDDB degradation mechanism with and without barrier metals. TDDB degradation of Cu interconnects without and with barrier metal is caused by bulk mode and CMP-surface mode, respectively. The TDDB characteristics with barrier metal are almost the same for different barrier metal thicknesses and depends much more strongly on the electric field strength than the MIS structure. Additionally, both degradations, related to Cu-ion diffusion, are mainly caused not by thermal stress but by electrical stress. The barrier properties of Ta and TaN are better than those of TiN against Cu-ion diffusion into dielectrics, for TDDB. In the case of a low-k structure, TDDB properties with barrier metal also depend on the CMP-surface. With low-k dielectrics, the electric field strength is concentrated near the CMP surface and the TDDB lifetime reduces as the k-value decreases. However, all low-k structures in this study are able to satisfy the 10-year TDDB reliability specifications for the capacitor.


Journal of The Electrochemical Society | 2000

Control of Photocorrosion in the Copper Damascene Process

Yoshio Homma; Seiichi Kondo; Noriyuki Sakuma; Kenji Hinode; Junji Noguchi; Naofumi Ohashi; Hizuru Yamaguchi; Nobuo Owada

Since chemical mechanical polishing for damascene processes producing copper interconnections is a wet-chemical treatment, corrosion control is indispensable. In addition to ordinary corrosion due to chemical and galvanic reactions with slurries, a new type of corrosion, pattern-specific corrosion, was found. It was clarified to be a kind of anodic corrosion observed only when the damascene process was used to make copper interconnections for active devices, occurring after the metal polishing is completed and the electrodes are electrically separated from each other. A positive potential is generated on the copper electrodes connected to the p + -diffused region against that connected to the n + -diffused region of a p-n junction when the fabrication is carried out in a light environment. The positively biased electrodes corrode quickly, especially in diluted rather than undiluted slurries, resulting in pattern-specific photocorrosion. Less corrosive slurries, especially in diluted state, or corrosion-preventing cleaning methods are therefore needed.


IEEE Transactions on Electron Devices | 2001

Effect of NH/sub 3/-plasma treatment and CMP modification on TDDB improvement in Cu metallization

Junji Noguchi; Naofumi Ohashi; Tomoko Jimbo; Hizuru Yamaguchi; Kenichi Takeda; Kenji Hinode

Time-dependent dielectric breakdown (TDDB) between Cu interconnects is investigated. TDDB lifetime strongly depends on the surface condition of the Cu interconnect and surrounding pTEOS. A NH/sub 3/-plasma treatment prior to cap-pSiN deposition on Cu interconnect improved the dielectric breakdown lifetime (T/sub BD/) over cap-pSiN deposition only. The plasma treatment also has the beneficial effect of suppressing wiring resistance increase during pSiN deposition. These results suggest that CuO reduction to Cu, and CuN formation at the Cu interconnect surface prevents Cu silicidation during pSiN deposition. Furthermore, SiN formation and bond termination by hydrogen radicals at the pTEOS surface diminish surface defects such as dangling bonds. TDDB lifetime also strongly depends on the Cu CMP process, in which mechanical damage of the SiO/sub 2/ surface during CMP process degrades TDDB. Adoption of a mechanical damage free slurry or a post-CMP HF treatment to remove the damaged layer from the surface improves TDDB.


international reliability physics symposium | 2000

TDDB improvement in Cu metallization under bias stress

Junji Noguchi; N. Ohashi; J. Yasuda; T. Jimbo; Hizuru Yamaguchi; Nobuo Owada; Kenichi Takeda; Kenji Hinode

Time-dependent dielectric breakdown (TDDB) between Cu interconnects is investigated. TDDB lifetime strongly depends on the surface condition of the Cu interconnect and surrounding pTEOS. A NH/sub 3/-plamsa treatment prior to cap-pSiN deposition on Cu interconnect improved the dielectric breakdown lifetime (/spl tau//sub BD/) over cap-pSiN deposition only. The plasma treatment also has the beneficial effect of suppressing wiring resistance increase during pSiN deposition. These results suggest that CuO reduction to Cu, and CuN formation at the Cu interconnect surface prevents Cu silicidation during pSiN deposition. Furthermore, SiN formation and bond termination by hydrogen radicals at the pTEOS surface diminish surface defects, such as dangling bonds. TDDB lifetime also strongly depends on the Cu CMP process, in which mechanical damage of the SiO/sub 2/ surface during CMP process degrades TDDB. Adoption of a mechanical damage free slurry or a post-CMP HF treatment to remove the damaged layer from the surface improves TDDB.


international reliability physics symposium | 1998

Enhanced dielectric breakdown lifetime of the copper/silicon nitride/silicon dioxide structure

Kenichi Takeda; Kenji Hinode; I. Oodake; N. Oohashi; Hizuru Yamaguchi

Time-dependent dielectric breakdown (TDDB) of MIS and MIM capacitors with Cu electrodes is investigated. The dielectric breakdown lifetime strongly depends on (1) the material and (2) the electric field strength of the dielectrics in contact with the Cu anode, while the dependence of the TDDB lifetime on the dielectric thickness and the capacitor structure (single-layer or multilayer) is small. In the case of the applied voltage and the total thickness of the dielectrics being constant, the layered SiN-SiO/sub 2/ structure with thinner p-SiN has higher resistance to dielectric breakdown than that of a monolayer structure (SiN, SiO/sub 2/). This higher resistance to breakdown is because of the higher dielectric constant and the higher TDDB endurance of SiN.


international reliability physics symposium | 1991

Direct measurement of localized joule heating in silicon devices by means of newly developed high resolution IR microscopy

Atsumi Yasuda; Hizuru Yamaguchi; Yoshikazu Tanabe; Nobuo Owada; Shigeki Hirasawa

A high resolution IR microscope, especially designed for measuring localized joule heating effect in silicon devices, has been developed by combining a ZnS objective lens and a HgCdTe infrared detector. This microscope has achieved the practical spatial resolution of 10 mu m and practical temperature resolution of 0.24 K. With this IR microscope, the joule heating effect in poly-Si (polycrystalline silicon) thin film resistors formed on SiO/sub 2/ thin layer has been measured, simulating SOI (silicon on insulator) structures. A significant temperature rise was observed in this device structure, because of the low thermal conductivity of the SiO/sub 2/ layer, suggesting the possibility of new reliability problems caused by the joule heating effect in SOI structures.<<ETX>>


IEEE Transactions on Semiconductor Manufacturing | 1997

Molecular dynamics analysis of reflow process of sputtered aluminum films

Yoko Saito; Shigeki Hirasawa; Tatsuyuki Saito; Hiroki Nezu; Hizuru Yamaguchi; Nobuo Owada

It is important that aluminum films fill the grooves on silicon substrates if high-density devices are to be produced. In this paper, we calculate the changes in the free-surface profiles of deposited aluminum films in a high-temperature reflow process on flat and grooved substrates using a molecular dynamics simulation. We use an atomic-scale model to analyze the micron-scale flow on the substrates. The relationships between droplet formation and the parameters of initial film-thickness distribution, aluminum film temperature, and bond energy between the aluminum and substrate atoms are also investigated. When the film at the bottom of the groove walls is thick, film breaks are observed at the top of the groove walls and a large volume of the film flows into the bottom of the groove. We also calculate the change in the aluminum-film profiles for a high-temperature sputtering deposition process.


Journal of The Electrochemical Society | 2006

Dual-Damascene Cu/Low-k Interconnect Fabrication Scheme Using Dissoluble Hard Mask Material

Takeshi Furusawa; Shuntaro Machida; Daisuke Ryuzaki; Kenji Sameshima; Takeshi Ishida; Kensuke Ishikawa; Noriko Miura; Nobuhiro Konishi; Tatsuyuki Saito; Hizuru Yamaguchi

A Cu-low-k dual-damascene scheme is developed by employing a dissoluble hard mask material, AlO. High-selectivity etching, over 15, is achieved by using the AlO hard mask. After the etching, the remaining AlO dissolves ina postetch cleaning solution, making additional processing costs minimal. By using this scheme, the line-to-line capacitance reduces by 10% because no ashing is applied after low-k trench etching. Low-temperature deposition of AlO is found to be the key for the dissoluble property. When the deposition temperature is 100°C or less. a wide range of conventional postetch cleaning solutions can be used to remove the remaining AlO hard mask.


Japanese Journal of Applied Physics | 2001

Light Emission Analysis of Dielectric Breakdown in Stressed Damascene Copper Interconnection

Kenichi Takeda; Kenji Hinode; Junji Noguchi; Hizuru Yamaguchi

Visible light emission observed in biased comb-type capacitors with a copper (Cu) damascene structure appears to be caused by electroluminescence. Photon emission probably occurs via energy states related to dangling bonds formed at a chemical-mechanical polished (CMP) surface. These energy states also appear to degrade the time-dependent dielectric breakdown (TDDB) characteristics and to increase line-to-line leakage currents. Ammonia (NH3) plasma treatment of a Cu-CMP surface dramatically improved TDDB and decreased the leakage currents. We attribute this to a reduced energy-state density through the termination of dangling bonds by hydrogen generated from the NH3 plasma.

Collaboration


Dive into the Hizuru Yamaguchi's collaboration.

Researchain Logo
Decentralizing Knowledge