Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Naofumi Ohashi is active.

Publication


Featured researches published by Naofumi Ohashi.


Journal of The Electrochemical Society | 2000

Abrasive‐Free Polishing for Copper Damascene Interconnection

Seiichi Kondo; Noriyuki Sakuma; Yoshio Homma; Yasushi Goto; Naofumi Ohashi; Hizuru Yamaguchi; Nobuo Owada

A complete abrasive‐free process for fabricating copper damascene interconnection has been developed. The process is a combination of newly developed abrasive‐free polishing (AFP) of Cu and dry etching of a barrier metal layer. A new aqueous chemical polishing solution and a polyurethane polishing pad produce complete stop‐on‐barrier characteristics of Cu polishing. The AFP provides a very clean, scratch‐free, anticorrosive polished surface, and the total depth of erosion and dishing is reduced to less than one fifth of that produced by conventional slurries, even after 100% overpolishing. The barrier metal is successfully dry etched by using gas at a high selectivity ratio (more than 10) of barrier metal to . It was found that the developed AFP significantly reduces both Cu line resistance and its deviation. Moreover, AFP can also contribute to cost reduction of chemical mechanical polishing and help solve environmental problems related to waste slurries.


Japanese Journal of Applied Physics | 2000

Slurry Chemical Corrosion and Galvanic Corrosion during Copper Chemical Mechanical Polishing

Seiichi Kondo; Noriyuki Sakuma; Yoshio Homma; Naofumi Ohashi

Copper (Cu) corrosion during chemical mechanical polishing (CMP) was controlled in order to improve the Cu damascene interconnect process. Slurry chemical corrosion was found to be enhanced when the slurry was diluted by deionized (DI) water during rinsing just after CMP. Since the corrosion inhibitor, benzotriazole (BTA), reduces the Cu removal rate, adding it to the rinse solution prevents chemical corrosion more effectively than adding it to the slurry. On the other hand, galvanic corrosion occurs at the interface between Cu and the barrier metal, and it can be prevented by selecting appropriate barrier metals. Because the difference between the electrochemical potentials of Cu and the barrier metal is small in the slurry, refractory metals such as Ta, TaN, and TiN were found to be appropriate barrier metals. On the other hand, W, WN, and Ti have large potential differences, so galvanic corrosion was clearly observed when Cu/W damascene interconnects were fabricated.


Journal of The Electrochemical Society | 2000

Control of Photocorrosion in the Copper Damascene Process

Yoshio Homma; Seiichi Kondo; Noriyuki Sakuma; Kenji Hinode; Junji Noguchi; Naofumi Ohashi; Hizuru Yamaguchi; Nobuo Owada

Since chemical mechanical polishing for damascene processes producing copper interconnections is a wet-chemical treatment, corrosion control is indispensable. In addition to ordinary corrosion due to chemical and galvanic reactions with slurries, a new type of corrosion, pattern-specific corrosion, was found. It was clarified to be a kind of anodic corrosion observed only when the damascene process was used to make copper interconnections for active devices, occurring after the metal polishing is completed and the electrodes are electrically separated from each other. A positive potential is generated on the copper electrodes connected to the p + -diffused region against that connected to the n + -diffused region of a p-n junction when the fabrication is carried out in a light environment. The positively biased electrodes corrode quickly, especially in diluted rather than undiluted slurries, resulting in pattern-specific photocorrosion. Less corrosive slurries, especially in diluted state, or corrosion-preventing cleaning methods are therefore needed.


IEEE Transactions on Electron Devices | 2001

Effect of NH/sub 3/-plasma treatment and CMP modification on TDDB improvement in Cu metallization

Junji Noguchi; Naofumi Ohashi; Tomoko Jimbo; Hizuru Yamaguchi; Kenichi Takeda; Kenji Hinode

Time-dependent dielectric breakdown (TDDB) between Cu interconnects is investigated. TDDB lifetime strongly depends on the surface condition of the Cu interconnect and surrounding pTEOS. A NH/sub 3/-plasma treatment prior to cap-pSiN deposition on Cu interconnect improved the dielectric breakdown lifetime (T/sub BD/) over cap-pSiN deposition only. The plasma treatment also has the beneficial effect of suppressing wiring resistance increase during pSiN deposition. These results suggest that CuO reduction to Cu, and CuN formation at the Cu interconnect surface prevents Cu silicidation during pSiN deposition. Furthermore, SiN formation and bond termination by hydrogen radicals at the pTEOS surface diminish surface defects such as dangling bonds. TDDB lifetime also strongly depends on the Cu CMP process, in which mechanical damage of the SiO/sub 2/ surface during CMP process degrades TDDB. Adoption of a mechanical damage free slurry or a post-CMP HF treatment to remove the damaged layer from the surface improves TDDB.


Japanese Journal of Applied Physics | 2004

Dependence of Time-Dependent Dielectric Breakdown Lifetime on the Structure in Cu Metallization

Junji Noguchi; Tatsuyuki Saito; Hiroyuki Maruyama; Maki Kubo; Naofumi Ohashi; Kenichi Takeda

Time-dependent dielectric breakdown (TDDB) in Cu metallization and its dependence on the presence of a barrier metal, the kind of barrier metal, barrier metal thickness, the low-k dielectric and the low-k barrier dielectric, is investigated. There is a distinct difference between TDDB degradation mechanisms with and without barrier metals. The degradation mechanism is drift through the bulk without the barrier, but drift along the CMP surface with the barrier. The barrier property against TDDB is better for Ta and TaN than that for TiN. The TDDB characteristic is independent of the barrier metal thickness, but depends strongly on the electric field strength in the structure. The degradations, related to Cu ion diffusion, are mainly caused by electrical stress, not thermal stress. In a low-k structure, the leakage current path is along the CMP surface due to the electric field concentration. The TDDB lifetime with a barrier metal depends on the breakdown voltage of the low-k material. The TDDB lifetime decreases as the k-value becomes lower. Although the use of low-k barrier dielectrics decreases the TDDB lifetime, a satisfactory TDDB lifetime is achievable with a low-k barrier dielectric as thin as 25 nm. All low-k structures in our study satisfy the 10-year projected TDDB reliability. However, the TDDB lifetime for technology generations beyond the 65 nm-node CMOS may have inadequate 10-year reliability.


Journal of Vacuum Science and Technology | 2006

Low-resistivity atomic-layer-deposited-TaN with atomic-layer-deposited-TaN/physical-vapor-deposited-Ta multilayer structure for multilevel Cu damascene interconnect

Akira Furuya; Nobuyuki Ohtsuka; Naofumi Ohashi; Seiichi Kondo; Shinichi Ogawa

One important issue for integrating atomic-layer-deposited (ALD) TaN barrier metal into Cu interconnects is a low thickness margin due to high electrical resistivity (∼50mΩcm) of ALD-TaN. In investigating this issue, the median via resistance (0.16μmdiametervias) was found to increase from 0.5 to 26Ω∕via as the ALD-TaN thickness was increased from 1 to 2nm. To reduce the resistivity of ALD-TaN, its atomic concentration on various substrates was investigated. The N/Ta ratio of ALD-TaN was found to be about 4/5 on a SiO2 substrate but about 1/2 on a Ta substrate. We also confirmed that the Ta-rich ALD-TaN film on the Ta substrate had low electrical resistivity (∼2mΩcm). We could thus successfully obtain low via resistance (5.4Ω∕via) with thick ALD-TaN (5nm) by using a PVD-Ta/ALD-TaN/PVD-Ta multilayer structure.


Japanese Journal of Applied Physics | 2005

Dependence of Time-Dependent Dielectric Breakdown Lifetime on NH3-Plasma Treatment in Cu Interconnects

Junji Noguchi; Naofumi Ohashi; Hizuru Yamaguchi; Kenichi Takeda

The time-dependent dielectric breakdown (TDDB) between adjacent Cu wires was investigated. TDDB lifetime strongly depends on the conditions of the chemical mechanical polishing (CMP) surface and of NH3-plasma treatment prior to cap nitride deposition. The condition of NH3-plasma treatment was evaluated in detail. The TDDB lifetime is strongly dependent on the substrate temperature and the duration of NH3-plasma treatment but is independent of the pressure and power. Excessive NH3-plasma treatment degrades the TDDB lifetime. Hillocks on the Cu surface appear abruptly as the substrate temperature rises. The optimum treatment conditions are 10–30 s for a substrate temperature of 360°C, and 10 s for a substrate temperature of 400°C.


Transactions of the Japan Society of Mechanical Engineers. C | 1996

Analysis of Dry Shrinkage Flow Process of Spin-Coated Film on Grooved Semiconductor Wafers.

Shigeki Hirasawa; Hiroki Nezu; Naofumi Ohashi; Hiroyuki Maruyama; Yoko Saito

It is important that SiO2 isolation film around aluminum connection lines has a flat surface in order to realize high-density devices. In this study, the transient change in liquid-SOG (spin-on-glass) film thickness distribution on a two-dimensional micro-grooved substrate during shrinkage was analyzed. Surface tension flow of the film was calculated. As the film was thin, boundary layer approximation was applied, and fourth-order equations of the film thickness were solved by an iteration method. The viscosity and the shrinkage rate were assumed to be functions of the concentration of the solvent in the film. When the value of [(surface tension)/{(shrinking speed)/(viscosity)}] is large and the width of projections is small, final surface undulations of the film are small. The effect of centrifugal force was also analyzed.


Archive | 2004

Semiconductor integrated circuit device and fabrication process thereof

Naofumi Ohashi; Hizuru Yamaguchi; Junji Noguchi; Nobuo Owada


Archive | 2002

Process for manufacturing semiconductor integrated circuit device

Naofumi Ohashi; Junji Noguchi; Toshinori Imai; Hizuru Yamaguchi; Nobuo Owada; Kenji Hinode; Yoshio Homma; Seiichi Kondo

Collaboration


Dive into the Naofumi Ohashi's collaboration.

Researchain Logo
Decentralizing Knowledge