Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hyang-ja Yang is active.

Publication


Featured researches published by Hyang-ja Yang.


international solid-state circuits conference | 2016

7.1 256Gb 3b/cell V-NAND flash memory with 48 stacked WL layers

Dongku Kang; Woopyo Jeong; Chulbum Kim; Doohyun Kim; Yong Sung Cho; Kyung-Tae Kang; Jinho Ryu; Kyung-Min Kang; Sung-Yeon Lee; Wandong Kim; Hanjun Lee; Jaedoeg Yu; Nayoung Choi; Dong-Su Jang; Jeong-Don Ihm; Doo-gon Kim; Young-Sun Min; Moosung Kim; An-Soo Park; Jae-Ick Son; In-Mo Kim; Pan-Suk Kwak; Bong-Kil Jung; Doo-Sub Lee; Hyung-Gon Kim; Hyang-ja Yang; Dae-Seok Byeon; Kitae Park; Kye-Hyun Kyung; Jeong-Hyuk Choi

Todays explosive demand for data transfer is accelerating the development of non-volatile memory with even larger capacity and cheaper cost. Since the introduction of 3D technology in 2014 [1], V-NAND is believed to be a successful alternative to planar NAND and is quickly displacing planar NAND in the SSD market, due to its performance, reliability, and cost competitiveness. V-NAND has also eliminated the cell-to-cell interference problem by forming an atomic layer for charge trapping [2], which enables further technology scaling. However, the etching technology required for creating a channel hole cannot keep up with the market-driven WL stack requirement. Therefore, total mold height reduction is unavoidable and this creates several problems. 1) reduced mold height increases resistance and capacitance for WLs due to the thinner layers being used. 2) channel hole critical dimension (CD) variation becomes problematic because the additional mold stack height aggravates uniformity, thereby producing WL resistance variation. Consequently, read and program performance degradation is inevitable, furthermore their optimization becomes more challenging.


international solid-state circuits conference | 2010

A 7 Gb/s/pin 1 Gbit GDDR5 SDRAM With 2.5 ns Bank to Bank Active Time and No Bank Group Restriction

Tae-Young Oh; Young-Soo Sohn; Seung-Jun Bae; Min-Sang Park; Ji-Hoon Lim; Yong-Ki Cho; Dae-Hyun Kim; Dong-Min Kim; Hye-Ran Kim; Hyun-Joong Kim; Jin-Hyun Kim; Jin-Kook Kim; Young-Sik Kim; Byeong-Cheol Kim; Sang-hyup Kwak; Jae-Hyung Lee; Jae-Young Lee; Chang-Ho Shin; Yun-Seok Yang; Beom-Sig Cho; Sam-Young Bang; Hyang-ja Yang; Young-Ryeol Choi; Gil-Shin Moon; Cheol-Goo Park; Seok-won Hwang; Jeong-Don Lim; Kwang-Il Park; Joo Sun Choi; Young-Hyun Jun

This paper describes a 1 Gbit GDDR5 SDRAM with enhanced bank access flexibility for efficient data transfer in 7 Gb/s per pin IO bandwidth. The enhanced flexibility is achieved by elimination of bank group restriction and reduction of bank to bank active time to 2.5 ns. The effectiveness of these key features is verified by system model simulation including memory and its controller. To realize the enhanced bank access flexibility, this DRAM employs the following techniques: skewed control logic, PVT variation compensated IO sense amplifier with auto calibration by replica impedance monitor, FIFO based BLSA enable signal generator, low latency VPP generator and active jitter canceller. This GDDR5 SDRAM was fabricated in 50 nm standard DRAM process in 61.6 die area and operates with 1.5 V power supply.


IEEE Journal of Solid-state Circuits | 2016

A 128 Gb 3b/cell V-NAND Flash Memory With 1 Gb/s I/O Rate

Woopyo Jeong; Jaewoo Im; Doohyun Kim; Sang-Wan Nam; Dongkyo Shim; Myung-Hoon Choi; Hyun-Jun Yoon; Dae-Han Kim; Y. Kim; Hyun Wook Park; Donghun Kwak; Sang-Won Park; Seok-Min Yoon; Wook-ghee Hahn; Jinho Ryu; Sang-Won Shim; Kyung-Tae Kang; Jeong-Don Ihm; In-Mo Kim; Doo-Sub Lee; Ji-Ho Cho; Moosung Kim; Jae-Hoon Jang; Sang-Won Hwang; Dae-Seok Byeon; Hyang-ja Yang; Kitae Park; Kye-Hyun Kyung; Jeong-Hyuk Choi

Most memory-chip manufacturers keep trying to supply cost-effective storage devices with high-performance characteristics such as shorter tPROG, lower power consumption and higher endurance. For many years, every effort has been made to shrink die size to lower cost and to improve performance. However, the previously used node-shrinking methodology is facing challenges due to increased cell-to-cell interference and patterning difficulties caused by decreasing dimension. To overcome these limitations, 3D-stacking technology has been developed. As a result of long and focused research in 3D stacking technology, we succeed in developing 128 Gb 3b/cell Vertical NAND with 32 stack WL layers for the first time, which is the smallest 128 Gb NAND Flash. The die size is 68.9 mm 2, program time is 700 us and I/O rate is 1 Gb/s.


international solid-state circuits conference | 2008

A 60nm 6Gb/s/pin GDDR5 Graphics DRAM with Multifaceted Clocking and ISI/SSN-Reduction Techniques

Seung-Jun Bae; Young-Soo Sohn; Kwang-Il Park; Kyoung-Ho Kim; Daehyun Chung; Jingook Kim; Si-Hong Kim; Min-Sang Park; Jae-Hyung Lee; Sam-Young Bang; Ho-Kyung Lee; In-Soo Park; Jae-Sung Kim; Dae-Hyun Kim; Hye-Ran Kim; Yong-Jae Shin; Cheol-Goo Park; Gil-Shin Moon; Ki-Woong Yeom; Kang-Young Kim; Jae-Young Lee; Hyang-ja Yang; Seong-Jin Jang; Joo Sun Choi; Young-Hyun Jun; Kinam Kim

Demand for high-speed DRAM in graphics application pushes a single-ended I/O signaling to operate up to 6Gb/s. To maintain the speed increase, the GDDR5 specification shifts from GDDR3/4 with respect to forwarded clocking, data training for write and read de-skewing, clock training, channel-error detection, bank group and data coding. This work tackles challenges in GDDR5 such as clock jitter and signal integrity.


international solid-state circuits conference | 2015

7.2 A 128Gb 3b/cell V-NAND flash memory with 1Gb/s I/O rate

Jaewoo Im; Woopyo Jeong; Doohyun Kim; Sang-Wan Nam; Dongkyo Shim; Myung-Hoon Choi; Hyun-Jun Yoon; Dae-Han Kim; Y. Kim; Hyun Wook Park; Donghun Kwak; Sang-Won Park; Seok-Min Yoon; Wook-ghee Hahn; Jinho Ryu; Sang-Won Shim; Kyung-Tae Kang; Sung-Ho Choi; Jeong-Don Ihm; Young-Sun Min; In-Mo Kim; Doo-Sub Lee; Ji-Ho Cho; Oh-Suk Kwon; Ji-Sang Lee; Moosung Kim; Sang-Hyun Joo; Jae-Hoon Jang; Sang-Won Hwang; Dae-Seok Byeon

Most memory-chip manufacturers keep trying to supply cost-effective storage devices with high-performance characteristics such as smaller tPROG, lower power consumption and longer endurance. For many years, every effort has been made to shrink die size to lower cost and to improve performance. However, the previously used node-shrinking methodology is facing challenges due to increased cell-to-cell interference and patterning difficulties caused by decreasing dimension. To overcome these limitations, 3D-stacking technology has been developed. As a result of long and focused research in 3D stacking technology, 128Gb 2b/cell device with 24 stack WL layers was announced in 2014 [1].


international solid-state circuits conference | 2011

A 40nm 2Gb 7Gb/s/pin GDDR5 SDRAM with a programmable DQ ordering crosstalk equalizer and adjustable clock-tracking BW

Seung-Jun Bae; Young-Soo Sohn; Tae-Young Oh; Si-Hong Kim; Yun-Seok Yang; Dae-Hyun Kim; Sang-hyup Kwak; Ho-Seok Seol; Chang-Ho Shin; Min-Sang Park; Gong-Heom Han; Byeong-Cheol Kim; Yong-Ki Cho; Hye-Ran Kim; Su-Yeon Doo; Young-Sik Kim; Dong-seok Kang; Young-Ryeol Choi; Sam-Young Bang; Sun-Young Park; Yong-Jae Shin; Gil-Shin Moon; Cheol-Goo Park; Woo-seop Kim; Hyang-ja Yang; Jeong-Don Lim; Kwang-Il Park; Joo Sun Choi; Young-Hyun Jun

Most DRAM interfaces such as GDDR5 and DDR3 use parallel single-ended signaling due to pin-count restriction and backward compatibility. Notwithstanding poor signal and power integrity issues, GDDR5 speed reached beyond 5Gb/s in recent years by utilizing data bus inversion, error-detection coding, data training and channel equalization [1–3]. However, channel crosstalk is becoming a major barrier to further speed improvement. A common solution for channel crosstalk reduction at the system level is to use a shielding line or wide spacing between signal lines, but increasing the number of layers in a chip package and PCB increase system cost. To remove the shielding lines and increase speed, this paper presents a channel crosstalk equalizer with programmable signal ordering capability for the DRAM transmitter. In addition, this paper addresses tri-mode clocking to reduce the system jitter for better timing margin: PLL off, LC-PLL and injection-locked oscillator.


international solid-state circuits conference | 2015

7.6 1GB/s 2Tb NAND flash multi-chip package with frequency-boosting interface chip

Hyun-Jin Kim; Jeong-Don Lim; Jangwoo Lee; Daehoon Na; Joon-Ho Shin; Chae-Hoon Kim; Seungwoo Yu; Ji-Yeon Shin; Seon-Kyoo Lee; Devraj Rajagopal; Sang-Tae Kim; Kyeong-Tae Kang; Jeong-Joon Park; Yong-Jin Kwon; Min-Jae Lee; Sung-Hoon Kim; Seunghoon Shin; Hyung-Gon Kim; Jin-Tae Kim; Ki-Sung Kim; Hansung Joo; Chanjin Park; Jaehwan Kim; Man-Joong Lee; Do-Kook Kim; Hyang-ja Yang; Dae-Seok Byeon; Kitae Park; Kye-Hyun Kyung; Jeong-Hyuk Choi

NAND Flash-based solid-state drives (SSDs) have been adopted in enterprise storage applications that require high capacity and high-throughput performance. In recent years, a SATA interface supporting only up to 600MB/S throughput has hindered the accelerating performance growth of SSDs due to the host bandwidth limit. A PCI Express (PCIe) has emerged to close the limit because it can deliver 1GB/s throughput per lane and can be scaled to multi-lane to improve bandwidth. Accordingly, the SSD performance bottleneck has moved from the host interface to the NAND flash interface. In a memory system, a die-stacking technology in a NAND flash multi-chip package (MCP) effectively increases capacity and throughput performance in terms of PCB complexities and power consumption compared to a method increasing the number of channels. However, the multi-drop bus topology on NAND interfaces severely affects I/O speed degradations due to channel reflections and inter-symbol interference (ISI) resulting from large capacitive loadings. The undeniable paradox between larger storage capacity and higher I/O bandwidth has become a key challenge to reach enterprise-class SSDs. To overcome this issue, this paper presents a frequency-boosting interface chip (F-Chip) to boost I/O speeds while meeting capacity requirements. A 2Tb NAND flash MCP with 1GB/s toggle DDR interface is accomplished by incorporating the F-Chip into the NAND MCP including a 16-die stacked 128Gb NAND flash.


international solid-state circuits conference | 2016

7.5 A 128Gb 2b/cell NAND flash memory in 14nm technology with tPROG=640µs and 800MB/s I/O rate

Seung-Jae Lee; Jin-Yub Lee; Il-Han Park; Jong-Yeol Park; Sung-Won Yun; Min-Su Kim; Jong-Hoon Lee; Minseok S. Kim; Kangbin Lee; Tae-eun Kim; ByungKyu Cho; Dooho Cho; Sangbum Yun; Jung-No Im; Hyejin Yim; Kyung-Hwa Kang; Suchang Jeon; Sungkyu Jo; Yang-Lo Ahn; Sung-Min Joe; S. Kim; Deok-kyun Woo; Jiyoon Park; Hyun Wook Park; Young-Min Kim; Jonghoon Park; Yongsu Choi; Makoto Hirano; Jeong-Don Ihm; Byung-Hoon Jeong

NAND flash memory is widely used as a cost-effective storage with high performance [1-2]. This paper presents a 128Gb multi-level cell (MLC) NAND flash memory with a 150 cells/string structure in 14nm CMOS that can be used as a cost-effective storage device. This paper also introduces several approaches to compensate for reliability and performance degradations caused by the 14nm transistors and the 150 cells/string structure. A technique was developed to suppress the background pattern dependency (BPD) by applying a low voltage to upper word lines (WLs) - the drain side(SSL side) WLs with respect to the location of the selected WL - during the verify sequence. Two techniques are also used to improve the program performance: equilibrium pulse scheme and smart start bias control scheme (SBC) in the MSB page. In addition, the first cycle recovery (FCR) of read enable (RE) and the bi-directional data strobe (DQS) is used to achieve a high speed I/O rate. As a result, a 640μs program time and a 800MB/s I/O rate is achieved.


symposium on vlsi circuits | 2010

A 40nm 7Gb/s/pin single-ended transceiver with jitter and ISI reduction techniques for high-speed DRAM interface

Seung-Jun Bae; Young-Soo Sohn; Tae-Young Oh; Sang-hyup Kwak; Dong-Min Kim; Dae-Hyun Kim; Young-Sik Kim; Yoo-seok Yang; Su-Yeon Doo; Jin-Il Lee; Sam-Young Bang; Sun-Young Park; Ki-Woong Yeom; Jae-Young Lee; Hwan-Wook Park; Woo-seop Kim; Hyang-ja Yang; Kwang-Il Park; Joo Sun Choi; Young-Hyun Jun

A 7Gb/s single ended transceiver with low jitter and ISI is implemented in 40nm DRAM process. DRAM optimized LC PLL achieves inductor Q of 3.86 and results in random jitter of 670fs rms. A clock tree regulator with closed loop replica path reduces low as well as high frequency noise. RX 2-tap hybrid DFE combining sampling and integration methods reduces power and area by 37% and 24%, compared to the integrating DFE. Moreover, on-chip de-emphasis circuit in TX multiplexer reduces ISI of both on and off chip.


international solid-state circuits conference | 2014

19.5 Three-dimensional 128Gb MLC vertical NAND Flash-memory with 24-WL stacked layers and 50MB/s high-speed programming

Kitae Park; Jinman Han; Dae-Han Kim; Sang-Wan Nam; Kihwan Choi; Min-Su Kim; Pan-Suk Kwak; Doo-Sub Lee; Yoon-He Choi; Kyung-Min Kang; Myung-Hoon Choi; Donghun Kwak; Hyun-Wook Park; Sang-Won Shim; Hyun-Jun Yoon; Doohyun Kim; Sang-Won Park; Kangbin Lee; Kuihan Ko; Dongkyo Shim; Yang-Lo Ahn; Jeunghwan Park; Jinho Ryu; Dong-Hyun Kim; Kyungwa Yun; Joonsoo Kwon; Seunghoon Shin; Dong-Kyu Youn; Won-Tae Kim; Tae-hyun Kim

Collaboration


Dive into the Hyang-ja Yang's collaboration.

Researchain Logo
Decentralizing Knowledge