Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hyun-Bae Lee is active.

Publication


Featured researches published by Hyun-Bae Lee.


IEEE Transactions on Advanced Packaging | 2008

A Serpentine Guard Trace to Reduce the Far-End Crosstalk Voltage and the Crosstalk Induced Timing Jitter of Parallel Microstrip Lines

Kyoungho Lee; Hyun-Bae Lee; Hae-Kang Jung; Jae-Yoon Sim; Hong-June Park

A serpentine guard trace is proposed to reduce the peak far-end crosstalk voltage and the crosstalk induced timing jitter of parallel microstrip lines on printed circuit boards. The vertical sections of the serpentine guard increase the mutual capacitance without much changing the mutual inductance between the aggressor and victim lines. This reduces the difference between the capacitive and inductive couplings and hence the far-end crosstalk. Comparison with the no guard, the conventional guard, and the via-stitch guard shows that the serpentine guard gives the smallest values in both the peak far-end crosstalk voltage and the timing jitter. The time domain reflectometer (TDR) measurement shows that the peak far-end crosstalk voltage of serpentine guard is reduced to 44% of that of no guard. The eye diagram measurement of pseudo random binary sequence (PRBS) data shows that the timing jitter is also reduced to 40% of that of no guard.


IEEE Journal of Solid-state Circuits | 2006

A three-data differential signaling over four conductors with pre-emphasis and equalization: a CMOS current mode implementation

Seok-Woo Choi; Hyun-Bae Lee; Hong-June Park

A current-mode differential signaling of three data over two pairs of transmission lines increases the effective maximum data rate per pair of transmission lines by about 37% over the conventional pure differential signaling. Each of two data is transmitted as a half-swing differential signal over a pair of transmission lines. The third data is transmitted as a half-swing complementary common-mode signal of the two pairs of transmission lines. Both a single-tap pre-emphasis and a single-tap decision feedback equalizer are combined with this work. Adding a D flip-flop between the equalizer amplifier and the MUX embedded D flip-flop of receiver enables 4-Gb/s operation of receiver. The chip fabricated by using a 0.25-/spl mu/m CMOS process shows the maximum data rates of 4 and 3.2 Gb/s over 20- and 60-cm-long FR4 transmission lines, respectively, with bit-error rate below 1E-12.


electronic components and technology conference | 2007

Serpentine Guard Trace to Reduce Far-end Crosstalk and Even-Odd Mode Velocity Mismatch of Microstrip Lines by More than 40%

Kyoungho Lee; Hyun-Bae Lee; Hae-Kang Jung; Jae-Yoon Sim; Hong-June Park

A serpentine guard trace located between two microstrip transmission lines reduced the peak far-end crosstalk voltage and the difference in propagation delay times between the even and odd mode signals by more than half of those of the no guard case, respectively, without the PCB area overhead. This reduction was achieved by increasing mutual capacitance without changing mutual inductance.


IEEE Transactions on Circuits and Systems Ii-express Briefs | 2016

A Delay Locked Loop With a Feedback Edge Combiner of Duty-Cycle Corrector With a 20%–80% Input Duty Cycle for SDRAMs

Ji-Hoon Lim; Jun-Hyun Bae; Jaemin Jang; Hae-Kang Jung; Hyun-Bae Lee; Yong-Ju Kim; Byungsub Kim; Jae-Yoon Sim; Hong-June Park

A feedback edge combiner is proposed for the duty-cycle corrector (DCC) of a delay locked loop (DLL) to increase the range of allowed input duty cycle. The feedback edge combiner generates the rising edge of a DCC output at the rising edge of an input clock. It generates the falling edge of the DCC output at the rising edge of a feedback clock that is a half-period-delayed signal of the DCC output. A dual-delay-line digitally controlled delay line (DCDL) is used for seamless boundary switching. The chip area of the DCDL is reduced by around 46% by employing the architecture of two short coarse delay lines followed by a fine phase mixer (FPM) and a long coarse delay line in series instead of the architecture of two long coarse delay lines followed by an FPM. The measurements on the chip fabricated in the 65-nm CMOS show the allowed input duty cycle in the range from 20% to 80%; root-mean-square and peak-to-peak jitters of 2.69 and 14.0 ps, respectively, at 2 GHz and 1.2 V; and the operating frequency range from 0.12 to 2.0 GHz at 1.2 V. The measured power consumption is 3.3 mW/GHz at 1.2 V. The chip area is 0.059 mm 2.


IEEE Journal of Solid-state Circuits | 2015

A 16.8 Gbps/Channel Single-Ended Transceiver in 65 nm CMOS for SiP-Based DRAM Interface on Si-Carrier Channel

Hyun-Bae Lee; Taek-Sang Song; Sang-Yeon Byeon; Kwanghun Lee; Inhwa Jung; Seongjin Kang; Ohkyu Kwon; Koeun Cheon; Donghwan Seol; Jongho Kang; Gunwoo Park; Yunsaing Kim

A 16.8 Gbps/channel single-ended transceiver for SiP-based DRAM interface on silicon carrier channel is proposed in this paper. A transmitter, receiver, and channel are all included in a single package as SiP. A current mode 4:1 MUX with 1-tap feed-forward equalizer (FFE) is used as a serializer, and this 4:1 MUX uses 25% duty clock to prevent short circuit current when consecutive 2-phase clocks overlap. Additionally, an open drain output driver with asynchronous type 1-tap FFE is used in the transmitter. Because of its small physical size, a common mode variation of Si-carrier channel from process variation is more serious than that of conventional PCB. This common mode variation degrades bit error rates (BER) at single-ended signaling. To obtain effective single-ended signaling on Si-carrier channel, a source follower-based continuous time linear equalizers and self- VREF generator with training algorithm on the receiver are proposed. An implemented Si-carrier channel uses meshed layer as a reference to reduce insertion loss. A BER less than 1e-12 is achieved in 65 nm CMOS and the power efficiency of the transceiver is 5.9 pJ/bit with 120 Ω terminations at each transceiver side.


international solid-state circuits conference | 2013

A 27% reduction in transceiver power for single-ended point-to-point DRAM interface with the termination resistance of 4×Z 0 at both TX and RX

Soo-Min Lee; Jong-Hoon Kim; Jong-sam Kim; Yunsaing Kim; Hyun-Bae Lee; Jae-Yoon Sim; Hong-June Park

The transceiver power is reduced by 27% in the single-ended point-to-point DRAM interface by increasing the termination resistance to 4×Z0 at both ends of TX and RX. The resultant increase of ISI and reflection is compensated for at RX by using the 1-tap and 2-tap integrating decision-feedback equalizer (IDFE), respectively, where the reflection tap position and the tap coefficients are found automatically during the training mode. This improves the bathtub opening of a 4-inch FR4 channel from 20% to 62.5% at 5Gb/s in 0.13μm CMOS.


asian solid state circuits conference | 2014

A 16.8Gbps/channel single-ended transceiver in 65nm CMOS for SiP based DRAM interface on Si-carrier channel

Hyun-Bae Lee; Taek-Sang Song; Sang-Yeon Byeon; Kwanghun Lee; Inhwa Jung; Seongjin Kang; Ohkyu Kwon; Koeun Cheon; Donghwan Seol; Jongho Kang; Gunwoo Park; Yunsaing Kim

A 16.8Gbps/channel single ended transceiver for SiP based DRAM interface on silicon carrier channel is presented. A transmitter, receiver, and channel are all included in a single package. On the transmitter, 1 tap FFEs are used in 4:1 MUX and in output driver. On the receiver, source follower based CTLEs and self Vref generator are used for obtaining effective single ended signaling on Si-carrier channel. A BER that is less than 1e-12 is achieved in 65nm CMOS. The power efficiency of the transceiver is 5.9pJ/bit with 120Ω terminations at each transceiver side.


IEEE Journal of Solid-state Circuits | 2014

An 80 mV-Swing Single-Ended Duobinary Transceiver With a TIA RX Termination for the Point-to-Point DRAM Interface

Soo-Min Lee; Il-Min Yi; Hae-Kang Jung; Hyun-Bae Lee; Yong-Ju Kim; Yun-Saing Kim; Byungsub Kim; Jae-Yoon Sim; Hong-June Park


international solid-state circuits conference | 2018

A 16Gb/s/pin 8Gb GDDR6 DRAM with bandwidth extension techniques for high-speed applications

Kyu-Dong Hwang; Boram Kim; Sang-Yeon Byeon; Kyuyoung Kim; Dae-Han Kwon; Hyun-Bae Lee; Geun-il Lee; Sang-Sic Yoon; Jin-Youp Cha; Soo-Young Jang; Seung Hun Lee; Yongsuk Joo; Gang-Sik Lee; Sung-Soo Xi; S. H. Lim; Kyung-Ho Chu; Joohwan Cho; Junhyun Chun; Jonghoon Oh; Jinkook Kim; Seok-Hee Lee


Journal of Physics and Chemistry of Solids | 2008

Superconducting energy gap in MgCNi 3 single crystals

J. Kačmarčík; Z. Pribulová; Peter J. Szabo; P. Samuely; Ch. Marcenat; T. Klein; Dae Jong Jang; Hyun-Bae Lee; Huncheol Lee; Seok Young Lee

Collaboration


Dive into the Hyun-Bae Lee's collaboration.

Top Co-Authors

Avatar

Hong-June Park

Pohang University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Hae-Kang Jung

Pohang University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Jae-Yoon Sim

Pohang University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Kyoungho Lee

Pohang University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Byungsub Kim

Pohang University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge