Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Irene Popova is active.

Publication


Featured researches published by Irene Popova.


symposium on vlsi technology | 2007

Strained Si Channel MOSFETs with Embedded Silicon Carbon Formed by Solid Phase Epitaxy

Yaocheng Liu; Oleg Gluschenkov; Jinghong Li; Anita Madan; Ahmet S. Ozcan; Byeong Y. Kim; Thomas W. Dyer; Ashima B. Chakravarti; Kevin K. Chan; Christian Lavoie; Irene Popova; Teresa Pinto; Nivo Rovedo; Zhijiong Luo; Rainer Loesing; William K. Henson; Ken Rim

Current drive enhancement is demonstrated in sub-40 nm NFETs with strained silicon carbon (Si:C) source and drain using a novel solid-phase epitaxy (SPE) technique for the first time. The very simple process uses no recess etch or epi deposition steps, adds minimal process cost, and can be easily integrated into a standard CMOS process. With a record high 1.65 at% substitutional C concentration in source and drain, 615 MPa uniaxial tensile stress was introduced in the channel, leading to a 35% improvement in electron mobility and 6% and 15% current drive increase in sub-40 and 200 nm channel length devices respectively.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Substrate aware OPC rules for edge effect in block levels

Dongbing Shao; Todd C. Bailey; Ian Stobert; Irene Popova; Chan Sam Chang

Implant level photolithography processes are becoming more challenging each node due to everdecreasing CD and resist edge placement requirements, and the technical challenge is exacerbated by the business need to develop and maintain low-cost processes. Optical Proximity Correction (OPC) using models created based on data from plain silicon substrate is not able to accommodate the various real device/design scenarios due to substrate pattern effects. In this paper, we show our systematic study on substrate effect (RX/STI) on implant level lithography CD printing. We also explain the CD variation mechanism and validate by simulation using well calibrated physical resist model. Based on the results, we propose an approach to generate substrate-aware OPC rules to correct for such substrate effects.


Proceedings of SPIE | 2011

Developable BARC (DBARC) technology as a solution to today's implant lithography challenges

James F. Cameron; Jin Wuk Sung; Sabrina Wong; Adam Ware; Yoshihiro Yamamoto; Hiroaki Kitaguchi; Libor Vyklicky; Steve Holmes; Irene Popova; Ranee Kwong; Pushkara Rao Varanasi

As patterning of implant layers becomes increasingly challenging it is clear that the standard resist/Top Antireflective Coating (TARC) process may be soon be limited in terms of its ability to meet implant targets at future nodes. A particularly attractive solution for patterning implant levels is the use of a Developable Bottom Anti-Reflective Coating (DBARC). Similar to a conventional BARC, a DBARC controls reflectivity from the underlying substrate by absorbing the incident radiation thereby minimizing detrimental effects of reflected light. However, unlike a conventional Bottom Anti-Reflective Coating (BARC) which requires a BARC open etch step, the DBARC is developed with the resist in a single step leaving the substrate ready for implantation. These properties make DBARC very attractive for implant layers. In this paper, we report on the development of KrF and ArF DBARCs for implant applications. Our primary interest is in developing solutions for patterning Post-Gate implant levels. We briefly describe our fundamental design concepts and demonstrate the concepts are robust as we develop ARTM602 DBARC to address the criteria for a production worthy DBARC. This includes data on EBR performance, drain line compatibility, sublimation and footing coverage over topography. In terms of lithographic performance, we demonstrate improved capability over the incumbent SLR/TARC process in many key areas. This includes through pitch performance, process window and profile integrity over topography for both KrF and ArF DBARC solutions. Several strategies to enhance profile by resist/DBARC matching are also demonstrated. From a platform robustness standpoint, we show that AR602 DBARC is ready for high volume manufacturing in terms of batch to batch control and shelf life.


Proceedings of SPIE | 2009

Message to the undecided - Using DUV dBARC for 32 nm node implants

Hyung-Rae Lee; Irene Popova; JoAnn Rolick; Juan-Manuel Gomez; Todd C. Bailey

In recent years, implant (block) level lithography has been transformed from being widely viewed as non-critical into one of the forefronts of material development. Ever-increasing list of substrates, coatings and films in the underlying stack clearly dictates the need for new materials and increased attention to this challenging area. Control of the substrate reflectivity and critical dimension (CD) on topography has become one of the key challenges for block level lithography and is required in order to meet their aggressive requirements for developing 32nm technology and beyond. The simulation results of wet-developable bottom anti-reflective coating (dBARC) show better reflectivity control on topography than the conventional top anti-reflective materials (TARCs), and make a convincing statement as to viability of dBARC as a working solution for block level lithography.1 Wet-developable BARC by definition offers substrate reflectivity and resist adhesion control, however there is a need to better understand the fundamental limitations of the dBARC process in comparison to the TARC process. In addition, some specific niche dBARC applications as facilitating adhesion to challenging substrates, such as capping layers in the high-k metal gate (HK/MG) stack, can also be envisioned as most imminent dBARC applications.2 However, most of the engineering community is still indecisive to use dBARC in production, bound by uncertainties of the robustness and lack of experience using dBARC in production. This work is designed to inspire more confidence in the potential use of this technology. Its objective is to describe testing of one of dBARC materials, which is not a photosensitive type, and its implementation on 32nm logic devices. The comparison between dBARC and TARC processes evaluates impacts of dBARC use in the lithographic process, with special attention to OPC behavior and reflectivity for controlling CD uniformity. This work also shows advantages and future challenges of dBARC process with several 248nm and 193nm resists on integrated wafers, which have shallow trench isolation (STI) and poly gate pattern topography.


Proceedings of SPIE | 2007

High-performance 193nm photoresists based on fluorosulfonamide

Wenjie Li; Kuang-Jung Chen; Ranee Kwong; Margaret C. Lawson; Mahmoud Khojasteh; Irene Popova; P. Rao Varanasi; Tsutomu Shimokawa; Yoshikazu Yamaguchi; Shiro Kusumoto; Makoto Sugiura; Takanori Kawakami; Mark Slezak; Gary Dabbagh; Zhi Liu

The combination of immersion lithography and reticle enhancement techniques (RETs) has extended 193nm lithography into the 45nm node and possibly beyond. In order to fulfill the tight pitch and small critical dimension requirements of these future technology nodes, the performance of 193nm resist materials needs to further improve. In this paper, a high performance 193nm photoresist system based on fluorosulfonamide (FSM) is designed and developed. The FSM group has good transparency at 193nm. Compared to the commonly used hexafluoroalcohol (HFA) group, the trifluoromethyl sulfonamide (TFSM) functionality has a lower pKa value and contains less fluorine atoms. Polymers containing the TFSM functionality have exhibited improved dissolution properties and better etch resistance than their HFA counterparts. Resists based on the FSM-containing polymers have shown superior lithographic performance for line, trench and contact hole levels under the 45nm node exposure conditions. In addition, FSM resists have also demonstrated excellent bright field and dark field compatibility and thereby make it possible to use one resist for both bright field and dark field level applications. The structure, property and lithographic performance of the FSM resist system are reported.


Proceedings of SPIE | 2007

Building an immersion topcoat from the ground up: materials perspective

Mahmoud Khojasteh; Irene Popova; P. Rao Varanasi; Linda K. Sundberg; Christopher F. Robinson; Daniel Corliss; Margaret C. Lawson; Gary Dabbagh; Mark Slezak; Matthew E. Colburn; Karen Petrillo

Over a period of last several years 193 nm immersion lithography from a remote and unlikely possibility gradually became a reality in many fabrication facilities across the globe and solid candidate for high volume manufacturing for the next generation technology node. It is being widely understood in the industry that top-coatless resist approach is a desirable final stage of the immersion process development. However creating low-defect high performance top-coatless resist materials requires understanding of the fundamental material properties of the top layer, responsible for leaching suppression, immersion fluid meniscus stability, and in this way enabling high speed low-defect scanning. While a lot of progress has been made in implementing specific top coat materials into the process flow, clear understanding effects of the top coat properties on the lithographic conditions and printing capability is still lacking. This paper will discuss top coat materials design, properties and functional characteristics in application to novel fluoroalcohol polymer-based immersion top coat. We have used our fluoroalcohol based-series designs (titled MVP top coat materials further on in the paper) as a test vehicle for establishing correlations between top coat performance and its physical and chemical properties including hydrophobicity, molecular weight/dispersity etc. Effects of polymer-solvent interactions on the contact angle and characteristics of the top coat material are explored, providing valuable understanding transferable to design of new generation top coats and top-coatless materials. Our resultant new designs demonstrated excellent lithographic performance, profiles and low leaching levels with commercially available resist and high receding contact angles, comparable to the commercial top coat materials.


Proceedings of SPIE | 2009

Progress towards production worthy developable BARCs (DBARCs)

James F. Cameron; John Amara; Gregory P. Prokopowicz; Jin Wuk Sung; David Valeri; Adam Ware; Kevin O'Shea; Yoshihiro Yamamoto; Tomoki Kurihara; Libor Vyklicky; Wu-Song Huang; Irene Popova; Pushkara Rao Varanasi

Developable bottom anti-reflective coating (DBARC) technology holds promise in two main areas of lithography. The first application of DBARC is in implant lithography where patterning implant levels would greatly benefit from improved reflection control such as provided by a conventional BARC. However, implant layers cannot withstand BARC open etch thereby making DBARC an attractive solution as the resist and DBARC are simultaneously dissolved during the development step leaving the underlying substrate ready for implantation. In comparison to current implant processes with top anti-reflective coatings (TARC), DBARCs are anticipated to offer improvements in reflection control which would translate to improved CDU and increased process window for both KrF and ArF implants. Indeed, this area has long been considered the ideal insertion point for DBARC technology. The second area where DBARC technology can make a significant impact is in non-implant lithography. In this large segment, the ability to replace a conventional BARC with a DBARC affords the device maker the ability to simplify both lithographic and integration processes. By replacing the BARC with a DBARC, the BARC open etch is negated. Furthermore, by applying this strategy on multilayer stacks it is possible to greatly simplify the process by avoiding both CVD steps and pattern transfer steps thereby easing integration. In this area, DBARC technology could have merit for low k1 KrF and ArF (dry) lithography as well as in immersion ArF processes. This paper describes our results in designing production worthy DBARCs for both implant and non-implant applications. A newly developed KrF DBARC platform is evaluated for logic implant applications and compared to a standard TARC implant process. Post develop residue and defectivity are checked for the new platform and the results compared to production worthy BARC and implant resists. A new ArF platform was also developed and initial lithographic results are reported for an implant application. Several non-implant applications were also investigated and results are reported for high resolution KrF and ArF (dry) lithography as well as an immersion ArF process.


Proceedings of SPIE | 2007

Evaluation of ArF lithography for 45-nm node implant layers

Todd C. Bailey; J. Maynollo; J. J. Perez; Irene Popova; Bidan Zhang

Scaling of designs to the 45nm or future nodes presents challenges for KrF lithography. The purpose of this work was to explore several aspects of ArF lithography for implant layers. A comparison of dark loss seen in a KrF resist and TARC system to that seen in an ArF system showed significant differences. While the KrF resist yielded dark loss that varied with CD and pitch, the ArF resist showed very little dark loss and no significant variation through the design space. ArF resist were observed to have marginal adhesion to various substrates. Improvements in adhesion performance were shown by pre-treating the substrate with various processes, of which an ozone clean provided the best results. Optimization of the HMDS priming conditions also improved adhesion, and it was observed that the HMDS reaction proceeds at different rates on different subsatrates, which is particularly important for implant layers where the resist must adhere to both Si and SiO2. The effect of ArF resist profile with varying reflectivity swing position is shown, and some investigation into reflectivity optimization techniques was performed. Low-index ArF TARC was shown to reduce the CD variation over polysilicon topography, and wet developable BARC was demonstrated to provide consistent profiles on both Si and SiO2 substrates. Finally, a comparison of ArF and KrF resists after As implant indicates that the ArF resist showed similar shrinkage performance to the KrF resist.


Archive | 2009

TOP ANTIREFLECTIVE COATING COMPOSITION CONTAINING HYDROPHOBIC AND ACIDIC GROUPS

Mahmoud Khojasteh; Wu-Song Huang; Margaret C. Lawson; Kaushal S. Patel; Irene Popova; Pushkara Rao Varanasi


Archive | 2010

Self-forming top anti-reflective coating compositions and, photoresist mixtures and method of imaging using same

Wu-Song Huang; Irene Popova; Pushkara Rao Varanasi; Libor Vyklicky

Collaboration


Dive into the Irene Popova's collaboration.

Researchain Logo
Decentralizing Knowledge