Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Pushkara Rao Varanasi is active.

Publication


Featured researches published by Pushkara Rao Varanasi.


Advances in resist technology and processing XVII | 2000

Dissolution/swelling behavior of cycloolefin polymers in aqueous base

Hiroshi Ito; Robert D. Allen; Juliann Opitz; Thomas I. Wallow; Hoa D. Truong; Donald C. Hofer; Pushkara Rao Varanasi; George M. Jordhamo; Saikumar Jayaraman; Richard Vicari

Polycycloolefins prepared by addition polymerization of norbornene derivatives are quite different from hydroxystyrene-based polymers in terms of their interaction with aqueous base. Their dissolution kinetics monitored on a quartz crystal microbalance is not a smooth function of the ratio of the polar to nonpolar functionalities in polymer but abruptly changes from very fast dissolution to massive swelling within a narrow range of composition. The maximum swelling is a function of thickness and the entire film thickness can swell in a few seconds at > 3,000 angstroms/sec or at immeasurably fast rates. The initial concentration of a pendant carboxylic acid in polymer has to be selected to minimize swelling and the concentration of an acid-labile group to induce fast dissolution in the exposed area. Furthermore, swelling which occurs in the partially- exposed regions must be minimized by incorporating a third monomer unit or by adding a dissolution modifying agent (DMA) such as t-butyl cholate. However, the function of DMA which is also acid-labile is quite complex; depending on the matrix polymer composition and its dissolution/swelling behavior, DMA could function as a swelling suppressor or promoter and a carboxylic acid generated by acidolysis of DMA as a dissolution or swelling promoter. Photochemically generated sulfonic acid could also affect the dissolution/swelling behavior. Base hydrolysis of anhydride during development is controlled by the polarity (carboxylic acid concentration) in polymer film, which has been demonstrated in an unequivocal fashion by IR spectroscopy under the condition strongly mimicking the development process and thus could boost development contrast but could hurt performance as well. Thus, incorporation of carboxylic acid in the form of methacrylic acid, for example, in radical copolymerization of norbornene with maleic anhydride must be handled carefully as it would increase the susceptibility of the anhydride hydrolysis and could introduce heterogeneity in the polymer as methacrylic acid is rapidly consumed, producing a terpolymer containing a different molar concentration of norbornene and maleic anhydride (a proof against the commonly believed charge transfer polymerization mechanism).


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Reactive ion etching of fluorine containing photoresist

Kaushal S. Patel; Victor Pham; Wenjie Li; Mahmoud Khojasteh; Pushkara Rao Varanasi

Photoresist are commonly used in semiconductor processing as soft masks for pattern transfer into multilayer stacks using reactive ion etching. The etch performance of the photoresist during such a process is an important consideration during resist selection. Since resist composition strongly influences its etch performance, understanding the correlation is important for resist development as well as etch optimization. In this paper, blanket etch rate of photoresist used in ArF lithography were examined as a function of polymer structure and atomic content. In particular, the impact of Fluorine content on blanket etch rate was studied. Etch results for a diverse sample of development and commercial resists were first fitted with the Ohnishi parameter [2] and ring parameter [3] models. The Ohnishi model correlates the carbon, hydrogen and oxygen atomic content of the resist to blanket etch rate whereas the ring parameter model is based on the cyclic carbon content. Since some of the evaluated resists contained fluorine which significantly influences the etch performance, both models were found to be inadequate in assessing its impact. Thus we introduce a modified Ohnishi parameter model to help examine the relative contributions due to resist fluorine content. With the new empirical model, good fits were obtained for etch rates of a fluorine containing resists. The model also enabled analysis of the etch process to determine the relative influence of oxygen versus fluorine content in the resist on etch rate. Finally, the model was utilized to design newer etch resistant materials and the improved etch performance was verified.


26th Annual International Symposium on Microlithography | 2001

IBM 193-nm bilayer resist: materials, lithographic performance, and optimization

Ranee W. Kwong; Pushkara Rao Varanasi; Margaret C. Lawson; Timothy Hughes; George M. Jordhamo; Mahmoud Khojasteh; Arpan P. Mahorowala; Ratnam Sooriyakumaran; Phillip J. Brock; Carl E. Larson; Debra Fenzel-Alexander; Hoa D. Truong; Robert D. Allen

193nm lithography will be the future technology for sub- 150nm resolution. As the dimensions get smaller, resist thickness is also needed to be reduced for better resolution and wider process window. Single layer 193nm resist, with thickness of less than 500nm, may not be able to satisfy some of the substrate etch requirement. With bilayer resist scheme, the thin resist offers the advantages of high resolution and good process window. The thick underlayer provides the etch resistance required for substrate etching. IBM has developed a silane substituted alternating copolymer based 193nm bilayer resist system and demonstrates sub-120nm resolution using Nikon 0.6NA stepper with Chrome on Glass (COG) mask. Lithographic performance and formulation optimizations of this 193nm bilayer resist as well as underlayer evaluation and some etch study will be discussed.


Advances in Resist Technology and Processing XX | 2003

Rational design in cyclic olefin resists for sub-100-nm lithography

Wenjie Li; Pushkara Rao Varanasi; Margaret C. Lawson; Ranee W. Kwong; Kuang-Jung Chen; Hiroshi Ito; Hoa D. Truong; Robert D. Allen; Masafumi Yamamoto; Eiichi Kobayashi; Mark Slezak

In an effort to design our next generation resist materials for sub-100nm lithography, we have introduced the hexafluoroisopropanol (HFA) functionality into the cyclic olefin (CO) polymer structure. It is found that the fluorine-containing HFA group not only helps reduce the 157nm optical density (O.D.) of the polymer and makes it suitable for 157nm application, but also dramatically improves the dissolution properties of the resulting CO polymer. Copolymers with a wide range (20-80%) of norbornene HFA (NBHFA) concentrations show little swelling behavior in aqueous base developer. The dissolution properties of the CO polymer could be further improved by combining the HFA and lactone structures in the CO polymers. This new version of CO polymers maintain a good etch resistance and excellent surface roughness after etch despite the fluorine content. Lithographic evaluation of resists based on these polymers (Cobra 5K) using a 193nm exposure tool (0.75 NA) reveals that Cobra 5K has a low post exposure bake (PEB) sensitivity (<0.5 nm/°C), good process window for both line/space (L/S) and contact holes patterns, and outstanding resolution capabilities especially for contacts application (<100nm).


Advances in Resist Technology and Processing XXI | 2004

IBM-JSR 193-nm negative tone resist: polymer design, material properties, and lithographic performance

Kaushal S. Patel; Margaret C. Lawson; Pushkara Rao Varanasi; David R. Medeiros; Gregory M. Wallraff; Phillip J. Brock; Richard Anthony DiPietro; Yukio Nishimura; Takashi Chiba; Mark Slezak

It has been previously proposed that negative-tone resist process would have an intrinsic advantage for printing narrow trench geometry. To demonstrate this for 193nm lithography, a negative resist with performance comparable to a leading positive resist is required. In this paper we report the joint development of a hexafluoroalcohol containing, 193nm, negative-tone, chemically amplified resist based on the crosslinking approach. Lithographic performance is presented which includes the ability of the negative-tone resist to print 90nm line/space and isolated trenches with standard resist processing. The impact of the fluorinated polymer on etch performance is also quantified. Finally, key resist characteristics and their influence on performance and limiting factors such as microbridging are discussed.


26th Annual International Symposium on Microlithography | 2001

193-nm single-layer resist materials: total consideration of design, physical properties, and lithographic performances on all major alicyclic platform chemistries

Toru Kajita; Yukio Nishimura; Masafumi Yamamoto; Hiroyuki Ishii; Akimasa Soyano; A. Kataoka; Mark Slezak; Makoto Shimizu; Pushkara Rao Varanasi; G. Jordahamo; Margaret C. Lawson; Rex Chen; William R. Brunsvold; Wenjie Li; Robert D. Allen; Hiroshi Ito; Hoa D. Truong; Thomas I. Wallow

The objective of this report will be to clarify the maturity of the current 193 SLR materials. We are going to report on all major platform chemistries, i.e.,(meth) acrylate system, ROMP system, cyclic olefin addition system, cyclic olefin/maleic anhydride system, vinyl ether/maleic anhydride system, and cyclyzed system at the same time. We are going to discuss maturity of each platform from several viewpoints such as polymerization process, physical properties of the resins, lithographic performances of the resists, and process latitude of the resists including etch performances. We are also referring to several critical issues such as etch resistance, surface roughness after etch, line slimming, etc. Three major platform chemistries, (meth)acrylate, COMA, and addition, are selected in order to cover the whole spectra of layer requirements. Those three systems respectively show characteristics lithographic performances.


Advances in resist technology and processing. Conference | 2005

193nm single layer photoresists: defeating tradeoffs with a new class of fluoropolymers

Pushkara Rao Varanasi; Ranee W. Kwong; Mahmoud Khojasteh; Kaushal S. Patel; Kuang-Jung Chen; Wenjie Li; Margaret C. Lawson; Robert D. Allen; Ratnam Sooriyakumaran; Phillip J. Brock; Linda K. Sundberg; Mark Slezak; Gary Dabbagh; Zhi Liu; Yukio Nishimura; Takashi Chiba; Tsutomu Shimokawa

The focus of this paper is to utilize the acidity of hexafluoroalcohol (HFA) in addressing performance deficiencies associated with current 193nm methacrylate resist materials. In this study, we have designed and developed a variety of HFA pendant methacrylate monomers and the corresponding imaging polymers for ArF lithography. It was shown that typical swelling behavior observed in methacrylate resists can be substantially reduced or eliminated by replacing commonly used multicylcic lactone polar functionalities with acidic HFA side chains. The incorporation of aliphatic spacers between HFA and polymer backbone were found to be more effective than cyclic hindered moieties, in achieving linear dissolution characteristics. The typical poor etch stability associated with fluorine atoms in HFA can be substantially minimized by designing side chains with a combination of appropriate cyclic and aliphatic moieties and fine-tuning the corresponding polymer compositions. PEB sensitivity of high activation energy protecting group (e.g., methyladamentyl group) based methacrylate resists can be substantially improved through the incorporation of acidic HFA side chains (6nm/C to <1 nm/C). The key application space for HFA-methacrylate resists appears to be trench level lithography. It was also demonstrated that these HFA materials are compatible with immersion lithography and result in dramatically improved process windows for iso trench features, in addition to other lines/space features.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Design and development of high-performance 193-nm positive resist based on functionalized poly(cyclicolefins)

Pushkara Rao Varanasi; J. Maniscalco; Ann Marie Mewherter; Margaret C. Lawson; George M. Jordhamo; Robert D. Allen; Juliann Opitz; Hiroshi Ito; Thomas I. Wallow; Donald C. Hofer; Leah J. Langsdorf; Saikumar Jayaraman; Richard Vicari

One of the major factors that seem to limit the development of practically useful 193nm resist materials has been their low reactive-ion-etch (RIE) resistance. In this paper, we have shown convincingly that the RIE stability of poly(cyclicolefins) is superior to that of the alternating copolymers such as poly(norbornene-anhydride), and poly(acrylates). We have also shown that a high performance 193nm resist can be developed from functionalized poly(norbornenes) using appropriate formulation and process optimizations.


Proceedings of SPIE | 2011

Developable BARC (DBARC) technology as a solution to today's implant lithography challenges

James F. Cameron; Jin Wuk Sung; Sabrina Wong; Adam Ware; Yoshihiro Yamamoto; Hiroaki Kitaguchi; Libor Vyklicky; Steve Holmes; Irene Popova; Ranee Kwong; Pushkara Rao Varanasi

As patterning of implant layers becomes increasingly challenging it is clear that the standard resist/Top Antireflective Coating (TARC) process may be soon be limited in terms of its ability to meet implant targets at future nodes. A particularly attractive solution for patterning implant levels is the use of a Developable Bottom Anti-Reflective Coating (DBARC). Similar to a conventional BARC, a DBARC controls reflectivity from the underlying substrate by absorbing the incident radiation thereby minimizing detrimental effects of reflected light. However, unlike a conventional Bottom Anti-Reflective Coating (BARC) which requires a BARC open etch step, the DBARC is developed with the resist in a single step leaving the substrate ready for implantation. These properties make DBARC very attractive for implant layers. In this paper, we report on the development of KrF and ArF DBARCs for implant applications. Our primary interest is in developing solutions for patterning Post-Gate implant levels. We briefly describe our fundamental design concepts and demonstrate the concepts are robust as we develop ARTM602 DBARC to address the criteria for a production worthy DBARC. This includes data on EBR performance, drain line compatibility, sublimation and footing coverage over topography. In terms of lithographic performance, we demonstrate improved capability over the incumbent SLR/TARC process in many key areas. This includes through pitch performance, process window and profile integrity over topography for both KrF and ArF DBARC solutions. Several strategies to enhance profile by resist/DBARC matching are also demonstrated. From a platform robustness standpoint, we show that AR602 DBARC is ready for high volume manufacturing in terms of batch to batch control and shelf life.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

New 193nm top antireflective coatings for superior swing reduction

Wu-Song Huang; William Heath; Ranee Kwong; Wenjie Li; Kaushal S. Patel; Pushkara Rao Varanasi

Reflectivity caused by topography on wafer stacks is very difficult to reduce with the use of thin antireflective bottom layers. A coating of top antireflective layer, with 1/4n wavelength of exposure source (193nm in this case), will create destructive interference between incident and reflected light. This destructive interference reduces the variation of energy deposited in resist of different thicknesses, which in turns, reduces the CD variation over topography. The optimum refractive index of this TARC layer, when coated over a resist with n value of 1.69, is around 1.3. Most currently available commercial TARCs, which are based on fluoropolymers, have an n value of 1.47 or higher. Even for TARCs with n value in this range, the swing amplitude is still in the >20% range. Besides the required low n value necessary for swing reduction, the TARC also should not affect resist image profiles. In this paper, we will discuss a newly developed TARC system which is different from the traditional approach in new TARC design. This new absorbing TARC system has demonstrated a superior capability of reducing CD swing, to less than 5%, without impacting resist image profile. This TARC system can provide a solution to the concern of CD variation over different topography on a wafer in device manufacturing.

Researchain Logo
Decentralizing Knowledge