Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ja-Chun Ku is active.

Publication


Featured researches published by Ja-Chun Ku.


international electron devices meeting | 2011

Highly productive PCRAM technology platform and full chip operation: Based on 4F 2 (84nm pitch) cell scheme for 1 Gb and beyond

Sunghoon Lee; H.C. Park; MinSoo Kim; H.W. Kim; M.R. Choi; H.G. Lee; J.W. Seo; SungJun Kim; Sook-Joo Kim; S.B. Hong; S.Y. Lee; Ju-Hwa Lee; Y.S. Kim; Kyu Sung Kim; J.I. Kim; M.Y. Lee; H.S. Shin; S.J. Chae; J.H. Song; H.S. Yoon; J.M. Oh; S.K. Min; Hyunjin Lee; K.R. Hong; J.T. Cheong; Sung-Kye Park; Ja-Chun Ku; Y.S. Sohn; S. Park; T.S. Kim

We successfully developed highly scalable and cost-effective PCRAM technology based on 0.007um2 (4F2, 84nm pitch) sized novel cell scheme. The chip size and density are 33.207mm2 and 1Gb. The device functionality and reliability were clearly demonstrated through fully integrated chip, which showed a promising feasibility for productive NVM applications.


Japanese Journal of Applied Physics | 2007

Gate Oxide Reliability Characterization of Tungsten Polymetal Gate with Low-Contact-Resistive WSix/WN Diffusion Barrier in Memory Devices

Min Gyu Sung; Kwan-Yong Lim; Heung-Jae Cho; Seung Ryong Lee; Se-Aug Jang; Yongsoo Kim; Tae-Yoon Kim; Hong-Seon Yang; Ja-Chun Ku; Jin-Woong Kim

Gate oxide reliability characteristics using different diffusion barrier metals for a tungsten polycrystalline silicon (poly-Si) gate stack were investigated in detail. The insertion of a thin WSix layer in a tungsten poly gate stack could effectively relieve the mechanical stress of a gate hardmask nitride film during a post thermal process, which contributes to better gate oxide reliability and the stress-immunity of the transistor. This insertion could also prevent the formation of a Si–N inter-dielectric layer, which could lower the contact resistance between poly and tungsten effectively. A W/WN/WSix/poly gate stack could be a promising candidate for a future W poly gate that shows reliable high-speed characteristics in dynamic random access memory applications.


international electron devices meeting | 2002

Novel shallow trench isolation process using flowable oxide CVD for sub-100 nm DRAM

Sung-Woong Chung; Sang-Tae Ahn; Hyun-Chul Sohn; Ja-Chun Ku; Sungki Park; Yong-Wook Song; Hyo-Sik Park; Sang-Don Lee

We have investigated the characteristics of cell leakage and data retention time when using flowable oxide chemical vapor deposition (CVD) as a shallow trench isolation (STI) process of 1-Gbit DRAM. The trench gap filling capability was increased dramatically by combining high-density plasma (HDP) CVD with flowable oxide CVD. The reduced local stress by flowable oxide in narrow trenches leaded to decrease in junction leakage and gate induced drain leakage (GIDL) current and increase in data retention time of DRAM compared to HDP STI. Therefore, it is concluded that the combination of flowable oxide and HDP oxide is the most promising technology for STI gap filling process of sub-100 nm DRAM technology.


Journal of Vacuum Science and Technology | 2000

Plasma enhanced chemical vapor deposition Si-rich silicon oxynitride films for advanced self-aligned contact oxide etching in sub-0.25 μm ultralarge scale integration technology and beyond

Jeong-Ho Kim; Jae-Seon Yu; Ja-Chun Ku; Choon-Kun Ryu; Su-Jin Oh; Si-Bum Kim; Jin-Woong Kim; Jeong-Mo Hwang; Su-Youb Lee; Inazawa Kouichiro

We intentionally introduced excessive Si during the SiOxNy film deposition in order to increase the etch selectivity-to-SiOxNy for advanced self-aligned contact (SAC) etching in sub-0.25 μm ultralarge scale integration devices. The SiOxNy layer was deposited at a conventional plasma enhanced chemical vapor deposition chamber by using a mixture of SiH4, NH3, N2O, and He. The gas mixing ratio was optimized to get the best etch selectivity and low leakage current. The best result was obtained at 10% Si–SiOxNy. In order to employ SiOxNy film as an insulator as well as a SAC barrier, the leakage current of SiOxNy film was evaluated so that SiOxNy may have the low leakage current characteristics. The leakage current of 10% Si–SiOxNy film was 7×10−9 A/cm2. Besides, the Si-rich SiOxNy layer excellently played the roles of antireflection coating for word line and bit line photoresist patterning and sidewall spacer to build a metal–oxide–semiconductor transistor as well as a SAC oxide etch barrier. The contact oxid...


IEEE Electron Device Letters | 2008

Influence of Hydrogen Incorporation on the Reliability of Gate Oxide Formed by Using Low-Temperature Plasma Selective Oxidation Applicable to Sub-50-nm W-Polymetal Gate Devices

Kwan-Yong Lim; Min-Gyu Sung; Heung-Jae Cho; Yong Soo Kim; Se-Aug Jang; Seung Ryong Lee; Kwang-Ok Kim; Hong-Seon Yang; Hyunchul Sohn; Seung-Ho Pyi; Ja-Chun Ku; Jin Woong Kim

This letter reveals the physical and electrical properties of silicon dioxide (Si02) formed by the plasma selective oxidation (plasma selox) using 02 and H2 gas mixture, which is applicable to sub-50-nm tungsten-polymetal gate memory devices without capping nitride film. Metal-oxide-semiconductor capacitors with gate oxide formed by the plasma selox at the process temperature in the range of 400degC-700degC showed much better time-dependent dielectric-breakdown characteristics than those formed by the conventional thermal selox at 850degC. On the other hand, in the case of very low temperature (25degC) plasma selox, the gate oxide degradation such as initial breakdown was found. It turned out to be due to the excessive hydrogen and water incorporation into the SiO2 layer through thermal desorption spectroscopy measurements.


european solid state device research conference | 2007

Low resistive tungsten dual polymetal gate process for high speed and high density memory devices

Yong Soo Kim; Kwan-Yong Lim; Min-Gyu Sung; Soo Hyun Kim; Hong-Seon Yang; Heung-Jae Cho; Se-Aug Jang; Jae-Geun Oh; Kwang-Ok Kim; Young-Kyun Jung; Tae-Woo Jung; Choon-Hwan Kim; Doek-Won Lee; Won Kim; Young Hoon Kim; Kang-Sik Choi; Tae-Kyung Oh; Yun-Taek Hwang; Seung-Ho Pyi; Ja-Chun Ku; Jin-Woong Kim

We developed ultra-low resistive tungsten dual polymetal gate memory device by using Ti-based diffusion barrier and a unique tungsten chemical vapor deposition (CVD) process with B2H6-based nucleation layer. The low resistive CVD-W (LRW) polymetal gate process not only reveals good gate oxide reliability comparable to PVD-W process, but also highly improved transistor performances such as signal delay characteristics.


international interconnect technology conference | 2008

Integration of Low Resistive CVD-W Interconnects for sub-50nm FEOL application

Choon-Hwan Kim; Il-Cheol Rho; Kyoung-Bong Rouh; Kwan-Yong Lim; Yong Soo Kim; Ja-Chun Ku; Yong-Sun Sohn; Hyosang Kang; Hyeong Joon Kim

Low resistive tungsten (LRW) interconnects using CVD-W films deposited on B2H6-reduced W nucleation layers have been successfully developed for FEOL application of sub-50nm dynamic random access memory (DRAM). LRW poly-metal gate showed excellent gate oxide integrity, low sheet resistance, low parasitic capacitance, and excellent transistor performances such as ring-oscillator delay comparable to PVD-W based poly-metal gate. In the bit line application, as the feature size was decreased, the contact resistance and sheet resistance of LRW bit line were decreased drastically compared to conventional CVD-W process. However, the properties of junction leakage current and saturation drain current (Idsat) of NMOS transistor were degraded due to the penetration of boron into the junction. In order to depress the junction degradation, the improvement of barrier properties of glue-layer and optimization of LRW process were suggested.


MRS Proceedings | 2008

Study on the Effect of RTA Ambient to Shallow N+/P Junction Formation using PH3 Plasma Doping

Seung-Woo Do; Byung-Ho Song; Ho Jung; Seong-Ho Kong; Jae-Geun Oh; Jin-Ku Lee; Min-Ae Ju; Seung-Joon Jeon; Ja-Chun Ku; Yong-Hyun Lee

Plasma doping (PLAD) process utilizing PH3 plasma to fabricate n-type junction with supplied bias of −1 kV and doping time of 60 sec under the room temperature is presented. The RTA process is performed at 900 °C for 10 sec. A defect-free surface is corroborated by TEM and DXRD analyses, and examined SIMS profiles reveal that shallow n+ junctions are formed with surface doping concentration of 10 21 atoms/cm 3 . The junction depth increases in proportion to the O 2 gas flow when the N 2 flow is fixed during the RTA process, resulting in a decreased sheet resistance. Measured doping profiles and the sheet resistance confirm that the n+ junction depth less than 52 nm and minimum sheet resistance of 313 Ω/□ are feasible.


ION IMPLANTATION TECHNOLOGY: 17th International Conference on Ion Implantation#N#Technology | 2008

Improved Re‐Crystallization of p+ Poly‐Si Gates with Molecular Ion Implantation

Jin‐Ku Lee; Min-Ae Ju; Jae-Geun Oh; Sun‐Hwan Hwang; Seung-Joon Jeon; Ja-Chun Ku; Sungki Park; Kyung‐Won Lee; Steve Kim; Geum‐Joo Ra; Ron Reece; Leonard M. Rubin; W. A. Krull; Hyun-Seok Cho

Implantation of B18H22 molecules at 80 keV and doses up to 4×1016 cm−2 were evaluated for the application of p‐type counterdoping of in situ n‐type doped polysilicon gates. Compared to conventional B implants, molecular implantation provides greatly improved throughput without the risk of energy contamination. Implants at these high doses resulted in poor re‐crystallization of the polysilicon layer due to the formation of excessive cluster‐type defects. Subjecting the polysilicon to either UV‐curing or low temperature soak annealing prior to dopant activation was not effective in improving the re‐crystallization process. However, breaking the dose into two portions at two different energies was shown to significantly improve re‐crystallization of the polysilicon layer. Improved dopant activation was confirmed by a >90% reduction in ring oscillator delay time on a 60 nm PMOSFET.


international interconnect technology conference | 2007

BEOL process integrations with Cu/FSG wiring at 90 nm design-rule DDR DRAM and their effects on yield, refresh time, and wafer-level reliability

Noh-Jung Kwak; Sang-Tae Ahn; Hyung-Soon Park; Seo-Min Kim; Jin-Ki Jung; Gyu-Hyun Kim; Geun-Young Choi; Dong-Chul Koo; Tae-Oh Jung; Ja-Chun Ku; Jae-Kwan Jung; Jin-Woong Kim; Sung-Wook Park; Hyunchul Sohn; Soo Hyun Kim

For the first time, this paper presents the results of successful integrations of Cu wiring into a production 512 Mb/90 nm design-rule stacked capacitor and recessed gate DDR (double data rate) DRAM technology, focusing on the effects of Cu integration on DRAM performance, yield, refresh time, and wafer-level reliability. 2 levels Cu interconnect (Ml single damascene and M2 dual damascene) and CVD low-k (FSG) materials have been implemented. Both the reduction in parasitic capacitance and line resistance were found to improve the operation speed of DRAM. No degradation was observed in view of normalized refresh time and yield with Cu wiring. The reliability issues with Cu integration to DRAM were systematically evaluated. In conclusion, this study demonstrates that the Cu wiring is fully compatible with the conventional DRAM and will be expected to meet the requirements of high-performance and high-speed advanced DRAM beyond sub-50 nm node.

Collaboration


Dive into the Ja-Chun Ku's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Soo Hyun Kim

Chonnam National University

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge