Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jaeseung Choi is active.

Publication


Featured researches published by Jaeseung Choi.


Proceedings of SPIE | 2007

Issues and challenges of double patterning lithography in DRAM

Seo-Min Kim; Sunyoung Koo; Jaeseung Choi; Young-Sun Hwang; Jungwoo Park; Eung-Kil Kang; Chang-Moon Lim; Seung-Chan Moon; Jin-Woong Kim

Double patterning lithography has been one of the candidates for sub-40nm patterning era, and has a lot of process issues to be confirmed. Last year, we presented the issues in double patterning lithography with a real flash gate pattern. Process flow was suggested and CD uniformity due to overlay was analyzed. And the layout decomposition and the two types of double patterning of positive and negative tone were studied with 1-dimensional pattern. In this paper, the implementation to DRAM patterns is examined, which consist of 2-dimensional patterns. Double patterning methods and the selection of their tone for each layer are studied, and the difficulties from the randomness of core pattern are also considered. As a result, DRAM patterns have more restrictions on the double patterning method and selection of tone, and the aggressive layout decomposition should be designed to solve the difficulty in core patterning. Therefore, 37nm DRAM layout can be patterned and the overlay control and cost still remain as dominant obstacles.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Positive and negative tone double patterning lithography for 50nm flash memory

Chang-Moon Lim; Seo-Min Kim; Young-Sun Hwang; Jaeseung Choi; Keundo Ban; Sung-Yoon Cho; Jin-Ki Jung; Eung-Kil Kang; Hee-Youl Lim; Hyeong-Soo Kim; Seung-Chan Moon

Double patterning lithography is very fascinating way of lithography which is capable of pushing down the k1 limit below 0.25. By using double patterning lithography, we can delineate the pattern beyond resolution capability. Target pattern is decomposed into patterns within resolution capability and decomposed patterns are combined together through twice lithography and twice etch processes. Two ways, negative and positive, of doing double patterning process are contrived and studied experimentally. In this paper, various issues in double patterning lithography such as pattern decomposition, resist process on patterned topography, process window of 1/4 pitch patterning, and overlay dependent CD variation are studied on positive and negative tone double patterning respectively. Among various issues about double patterning, only the overlay controllability and productivity seemed to be dominated as visible obstacles so far.


Metrology, inspection, and process control for microlithography. Conference | 2006

New OPC verification method using die-to-database inspection

Hyunjo Yang; Jaeseung Choi; Byung-ug Cho; Jongkyun Hong; Jookyoung Song; Donggyu Yim; Jin-Woong Kim; Masahiro Yamamoto

The minimum feature size of new generation memory devices is approaching down to 50 nm era. And a very precise CD control is demanded not only for cell layouts but also for core and peripheral layouts of DRAM devices. However, as NA of lens system grows higher and higher and Resolution Enhancement Techniques (RETs) becomes more and more aggressive, isolated-dense bias increases and process window for the core and peripheral layouts decreases dramatically. So, the burden of OPC increases in proportion and it is requisite to verify as many features as possible on wafer. If possible, it would be desirable to verify all the features in a die. Recently, a novel inspection tool has been developed which can verify all kinds of patterns on wafer based on Die to Database copmarison method. It can identify all the serious systematic defects of nm order size error from the original layout target and feed back the systematic error points to OPC for more accurate model tuning. In addition we can obtain the full field CD distribution diagram of some specific transistors with hundreds of thousands of measurement data. So, we can analyze the root cause of the CD distribution in a field, such as mask CDU or lens aberrations and so on. And we can also perform Process Window Qualification of all the features in a die. In this paper, OPC verification methodology using the new inspection tool will be introduced and the application to the analysis of full field CD distribution and Process Window Qualification will be presented in detail.


Proceedings of SPIE | 2008

Evaluation of Inverse Lithography Technology for 55nm-node memory device

Byung-ug Cho; Sungwoo Ko; Jaeseung Choi; Cheol-Kyun Kim; Hyunjo Yang; Donggyu Yim; David H. Kim; Bob Gleason; Ki-Ho Baik; Ying Cui; Thuc Dam; Linyong Pang

Model based OPC has been generally used to correct proximity effects down to ~50 nm critical dimensions at k1 values around 0.3. As design rules shrink and k1 drops below 0.3, however; it is very hard to obtain enough process window and acceptable MEEF (Mask Error Enhancement Factor) with conventional model based OPC. Recently, ILT (Inverse Lithography Technology) has been introduced and has demonstrated wider process windows than conventional OPC. The ILT developed by Luminescent uses level-set methods to find the optimal photo mask layout, which maximizes the process window subject to mask manufacturing constraints. We have evaluated performance of ILT for critical dimensions of 55nm, printed under conditions corresponding to k1 ~ 0.28. Results indicated a larger process window and better pattern fidelity than obtained with other methods. In this paper, we present the optimization procedures, model calibration and evaluation results for 55 nm metal and contact layers and discuss the possibilities and the limitations of this new technology.


Metrology, inspection, and process control for microlithography. Conference | 2005

OPC accuracy enhancement through systematic OPC calibration and verification methodology for sub-100nm node

Hyunjo Yang; Jaeseung Choi; Byung-ug Cho; Byeongho Cho; Donggyu Yim; Jin-Woong Kim

New generation DRAM devices such as high speed Graphic DRAMs demand smaller size transistors and very precise CD control. However, the application of very high NA and aggressive Resolution Enhancement Techniques (RETs) increases Isolated-dense bias and leaves very small process window for isolated transistor patterns. It implies that a very aggressive and also very delicate OPC work is required for these new generation devices. A novel measurement system which can compare CD SEM image with CAD data has been developed and we were able to systematically calibrate OPC modeling and verify modeling accuracy by connecting this measurement system with OPC tools. In this paper, the functions of the novel measurement system are presented and the application to the OPC calibration and OPC accuracy verification are presented. This novel measurement system was very useful for 2D model calibration. We were able to enhance OPC accuracy through this systematic OPC calibration and verification methodology.


Proceedings of SPIE | 2007

DFM flow by using combination between design-based metrology system and model-based verification at sub-50nm memory device

Cheol-Kyun Kim; Jungchan Kim; Jaeseung Choi; Hyunjo Yang; Donggyu Yim; Jin-Woong Kim

As the minimum transistor length is getting smaller, the variation and uniformity of transistor length seriously effect device performance. So, the importance of optical proximity effects correction (OPC) and resolution enhancement technology (RET) cannot be overemphasized. However, OPC process is regarded by some as a necessary evil in device performance. In fact, every group which includes process and design, are interested in whole chip CD variation trend and CD uniformity, which represent real wafer. Recently, design based metrology systems are capable of detecting difference between data base to wafer SEM image. Design based metrology systems are able to extract information of whole chip CD variation. According to the results, OPC abnormality was identified and design feedback items are also disclosed. The other approaches are accomplished on EDA companies, like model based OPC verifications. Model based verification will be done for full chip area by using well-calibrated model. The object of model based verification is the prediction of potential weak point on wafer and fast feed back to OPC and design before reticle fabrication. In order to achieve robust design and sufficient device margin, appropriate combination between design based metrology system and model based verification tools is very important. Therefore, we evaluated design based metrology system and matched model based verification system for optimum combination between two systems. In our study, huge amount of data from wafer results are classified and analyzed by statistical method and classified by OPC feedback and design feedback items. Additionally, novel DFM flow would be proposed by using combination of design based metrology and model based verification tools.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Novel process proximity correction by the pattern-to-pattern matching method with DBM

Daejin Park; Jinyoung Choi; Hyoung-Soon Yune; Jaeseung Choi; Cheol-Kyun Kim; Bong-Ryoul Choi; Donggyu Yim

Recently, the dramatic acceleration in dimensional shrink of DRAM memory devices has been observed. For sub 60 nm memory device, we suggest the following method of optical proximity correction (OPC) to enhance the critical dimension uniformity (CDU). In order to enhance CD variation of each transistor, hundreds of thousand transistor CD data were used through design based metrology (DBM) system. In a traditional OPC modeling method, it is difficult to realize enhancement of CD variation on chip because of the limitation of OPC feedback data. Even though optical properties are surely understood from recent computational lithography models, there are so many abnormalities like mask effect, thermal effect from the wafer process, and etch bias variation of the etching process. Especially, etch bias is too complicate to predict since it is related to variations such as space among adjacent patterns, the density of neighboring patterns and so on. In this paper, process proximity correction (PPC) adopting the pattern to pattern matching method is used with huge amount of CD data from real wafer. This is the method which corrects CD bias with respect to each pattern by matching the same coordinates. New PPC method for enhancement of full chip CD variation is proposed which automatically corrects off-targeted feature by using full chip CD measurement data of DBM system. Thus, gate CDU of sub 60 nm node is reduced by using new PPC method. Analysis showed that our novel PPC method enhanced CD variation of full chip up to 20 percent.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Highly accurate modeling by using 2-dimensional calibration data set for model-based OPC verification

Cheol-Kyun Kim; Jaeseung Choi; Byung-Ho Nam; Donggyu Yim

As the k1 factor and minimum feature sizes decrease, the use of optical proximity correction (OPC) is increasing and is getting more complex. The complexity increases the possibility of correction errors like improper placement of edges in the OPC output data such that the printed results will deviate from target design. In this paper we will describe new modeling method by using 2-dimensional test structures for model based verification of post OPC data. Recently, most of the semiconductor companies implement a system for model based verification (MBV) for post OPC data into a manufacturing data flow. In case of model based verification, the most important thing is the accuracy of model which is used to detect the potential hot spot and critical errors like pinching-bridging errors and CD variation. For good model accuracy, process change has to be feedback to the model generation step by injecting real wafer information. Therefore, optimization process of 2-dimensional data set is needed. We proposed new modeling method by using optimization process of calibration data set which consists of 2-dimensional structures. Also, we present results of MBV and discuss about constraints and considerations of model based verification.


Metrology, Inspection, and Process Control for Microlithography XVII | 2003

CD control at low K1 optical lithography in DRAM device

Jongkyung Hong; Chongsik Woo; Jaewoo Park; Byeongho Cho; Jaeseung Choi; Hyunjo Yang; Chanha Park; Yong-Chul Shin; Youngdea Kim; Goomin Jeong; Jungchan Kim; Khil-Ohk Kang; Chunsoo Kang; Jongwoon Park; Donggyu Yim; Youngwook Song

In this work, CD control issue at 0.37 K1 optical lithography will be discussed in terms of lens aberration sensitivity. Specific aberration terms that affect CD asymmetry on isolation, word line and storage node layers were investigated by simulation and CD uniformity measurement. The lens aberration was characterized by LITEL ISI (In-Situ Interferometer) and the aberration sensitivity was investigated by Solid-C aerial image simulation. From this result, we can understand the relation between some significant Zernike terms and CD control of DRAM’s critical layers.


Proceedings of SPIE | 2017

Cost effective solution using inverse lithography OPC for DRAM random contact layer

Jinhyuck Jun; Jaehee Hwang; Jaeseung Choi; Seyoung Oh; Chanha Park; Hyunjo Yang; Thuc Dam; Munhoe Do; Dongchan Lee; Guangming Xiao; Jung-Hoe Choi; Kevin Lucas

Many different advanced devices and design layers currently employ double patterning technology (DPT) as a means to overcome lithographic and OPC limitations at low k1 values. Certainly device layers with k1 value below 0.25 require DPT or other pitch splitting methodologies. DPT has also been used to improve patterning of certain device layers with k1 values slightly above 0.25, due to the difficulty of achieving sufficient pattern fidelity with only a single exposure. Unfortunately, this broad adoption of DPT also came with a significant increase in patterning process cost. In this paper, we discuss the development of a single patterning technology process using an integrated Inverse Lithography Technology (ILT) flow for mask synthesis. A single pattering technology flow will reduce the manufacturing cost for a k1 > 0.25 full chip random contact layer in a memory device by replacing the more expensive DPT process with ILT flow, while also maintaining good lithographic production quality and manufacturable OPC/RET production metrics. This new integrated flow consists of applying ILT to the difficult core region and traditional rule-based assist features (RBAFs) with OPC to the peripheral region of a DRAM contact layer. Comparisons of wafer results between the ILT process and the non-ILT process showed the lithographic benefits of ILT and its ability to enable a robust single patterning process for this low-k1 device layer. Advanced modeling with a negative tone develop (NTD) process achieved the accuracy levels needed for ILT to control feature shapes through dose and focus. Details of these afore mentioned results will be described in the paper.

Collaboration


Dive into the Jaeseung Choi's collaboration.

Researchain Logo
Decentralizing Knowledge