Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jared L. Zerbe is active.

Publication


Featured researches published by Jared L. Zerbe.


IEEE Journal of Solid-state Circuits | 1999

A portable digital DLL for high-speed CMOS interface circuits

Bruno W. Garlepp; Kevin S. Donnelly; Jun Kim; Pak Shing Chau; Jared L. Zerbe; Charles Huang; Chanh Tran; Clemenz L. Portmann; Donald C. Stark; Yiu-Fai Chan; Thomas H. Lee; Mark Horowitz

A digital delay-locked loop (DLL) that achieves infinite phase range and 40-ps worst case phase resolution at 400 MHz was developed in a 3.3-V, 0.4-/spl mu/m standard CMOS process. The DLL uses dual delay lines with an end-of-cycle detector, phase blenders, and duty cycle correcting multiplexers. This more easily process portable DLL achieves jitter performance comparable to a more complex analog DLL when placed into identical high-speed interface circuits fabricated on the same test-chip die. At 400 MHz, the digital DLL provides <250 ps peak-to-peak long-term jitter at 3.3 V and operates down to 1.7 V, where it dissipates 60 mW. The DLL occupies 0.96 mm/sup 2/.


international solid state circuits conference | 1994

A 2.5 V CMOS delay-locked loop for 18 Mbit, 500 megabyte/s DRAM

Thomas H. Lee; Kevin S. Donnelly; J. Ho; Jared L. Zerbe; Mark G. Johnson; T. Ishikawa

This paper describes clock recovery circuits specifically designed for the hostile noise environment found aboard dynamic RAM chips. Instead of a phase-locked loop having a voltage-controlled oscillator, these circuits implement a delay-locked loop, thereby achieving low jitter and reduced sensitivity to noise on the substrate and the power supply rails. Differential signals are employed both in signal paths and in control paths, further decreasing noise sensitivity and simultaneously allowing operation from low voltage supplies. An unorthodox voltage controlled phase shifter, operating on the principle of quadrature mixing, yields a circuit with unlimited delay range (modulo 2/spl pi/ radians). Minor loops, enclosed within the overall loop feedback path, perform active duty cycle correction. Measured results show peak-to-peak jitter of 140 ps on the internal clock signal, and 250 ps on the external data pins, sufficiently small to allow 500 Megabyte/s transfer rates at the I/O interface. >


symposium on vlsi circuits | 2004

Adaptive equalization and data recovery in a dual-mode (PAM2/4) serial link transceiver

Vladimir Stojanovic; Alice Suk Yue Ho; Bruno W. Garlepp; Fuping Chen; Jason Wei; Elad Alon; Carl W. Werner; Jared L. Zerbe; Mark Horowitz

To achieve high bit rates link designers are using more sophisticated communication techniques, often turning to 4PAM transmission or decision-feedback equalization (DFE). Interestingly, with only minor modification the same hardware needed to implement a 4PAM system can be used to implement a loop-unrolled single-tap DFE receiver. To get the maximum performance from either technique, the link has to be tuned to match the specific channel it is driving. Adaptive equalization using data based update filtering allows continuous updates while minimizing the required sampler front-end hardware and significantly reduces the cost of implementation in multi-level signaling schemes. A transceiver chip was designed and fabricated in 0.13 /spl mu/m CMOS process to investigate dual-mode operation and the modifications of the standard adaptive algorithms necessary to operate in high-speed link environments.


international solid-state circuits conference | 2007

A 7.5Gb/s 10-Tap DFE Receiver with First Tap Partial Response, Spectrally Gated Adaptation, and 2nd-Order Data-Filtered CDR

Brian S. Leibowitz; J. Kizer; Hae-Chang Lee; F. Chen; A. Ho; M. Jeeradit; A. Bansal; Trey Greer; Simon Li; R. Farjad-Rad; W. Stonecypher; Yohan Frans; Barry Daly; Fred Heaton; B.W. Gariepp; Carl W. Werner; Nhat Nguyen; Vladimir Stojanovic; Jared L. Zerbe

A 7.5Gb/s receiver has a 3-level DFE architecture to satisfy feedback timing requirements for 10 post-cursor taps. The receiver includes a second-order CDR with partial-response transition data filtering as well as a spectrally gated adaptation engine to prevent equalization updates during poor data patterns. The receiver consumes 136mW in a 90nm CMOS process


IEEE Journal of Solid-state Circuits | 2001

1.6 Gb/s/pin 4-PAM signaling and circuits for a multidrop bus

Jared L. Zerbe; Pak Shing Chau; Carl W. Werner; T. Thrush; H.J. Liaw; Bruno W. Garlepp; Kevin S. Donnelly

A 1.6 Gb/s/pin 4-PAM multi-drop signaling system has been implemented in 0.35-/spl mu/m CMOS. The system uses current-mode single-ended signaling, with three DC references shared across six I/O pins. A high-gain windowed integrating receiver with wide common-mode range was designed in order to improve SNR when operating with the smaller input overdrive of 4-PAM. Voltage and timing margins are measured via shmoos in a two-drop bussed system.


symposium on vlsi circuits | 2008

A 16Gb/s/link, 64GB/s bidirectional asymmetric memory interface cell

Ken Chang; Hae-Chang Lee; Jung-Hoon Chun; Ting Wu; T. J. Chin; Kambiz Kaviani; Jie Shen; Xudong Shi; Wendem Beyene; Yohan Frans; Brian S. Leibowitz; Nhat Nguyen; Fredy Quan; Jared L. Zerbe; Rich Perego; Fari Assaderaghi

An asymmetric memory interface cell with 32 bidirectional data and four unidirectional request links operating at 16 Gb/s per link is implemented in TSMC 65 nm CMOS process technology. Timing adjustment and equalization circuits for both memory read and write are on the controller to reduce the memory cost. Each link operates at a maximum rate of 16 Gb/s with sufficient and comparable margins in both directions at a BER of 10-12. The measured energy efficiency for the controller interface cell is 13 mW/Gb/s under nominal operating conditions.


IEEE Journal of Solid-state Circuits | 2008

Near-Optimal Equalizer and Timing Adaptation for I/O Links Using a BER-Based Metric

E-Hung Chen; Jihong Ren; Brian S. Leibowitz; Hae-Chang Lee; Qi Lin; Kyung Suk Oh; Frank Lambrecht; Vladimir Stojanovic; Jared L. Zerbe; Chih-Kong Ken Yang

A new adaptation strategy of I/O link equalizers is presented based on minimizing the bit error rate (BER) as the objective function to maximize the receiver voltage margin. The adaptation strategy is verified in a 90-nm test chip on both the transmitter finite-impulse response filter (Tx-FIR) and the receiver decision-feedback equalizer (Rx-DFE). The performance is compared with the commonly used sign-sign least mean square (SS-LMS) adaptation and demonstrates significant improvements especially in the case of the Tx-FIR. This paper also demonstrates that in a highly attenuating system that contains both a Tx-FIR and Rx-DFE, using a Tx-FIR subject to peak output power constraint to compensate pre-cursor ISI is worse than solely using an Rx-DFE. The adaptation strategy is further applied to adapt the sampling phase of the clock-and-data recovery loop (CDR). The technique enables near-optimal BER performance by substantially reducing the pre-cursor ISI and requires almost no additional hardware compared to SS-LMS adaptation.


IEEE Transactions on Circuits and Systems | 2011

Equalizer Design and Performance Trade-Offs in ADC-Based Serial Links

Jaeha Kim; E-Hung Chen; Jihong Ren; Brian S. Leibowitz; Patrick Satarzadeh; Jared L. Zerbe; Chih-Kong Ken Yang

This paper investigates the performance benefit of using nonuniformly quantized ADCs for implementing high-speed serial receivers with decision-feedback equalization (DFE). A way of determining an optimal set of ADC thresholds to achieve the minimum bit-error rate (BER) is described, which can yield a very different set from the one that minimizes signal quantization errors. By recognizing that both the loop-unrolling DFE receiver and ADC-based DFE receiver decide each received bit based upon the result of a single slicer, an efficient architecture named reduced-slicer partial-response DFE (RS-PRDFE) receiver is proposed. The RS-PRDFE receiver eliminates redundant or unused slicers from the previous DFE receiver implementations. Both the simulation and measurement results from a 10 Gb/s ADC-based receiver fabricated in 65 nm CMOS technology and multiple backplane channels demonstrate that the RS-PRDFE can achieve the BER of a 3-4-bit uniform ADC only with 4 data slicers. Also, the combined use of linear equalizers (LEs) can further reduce the required slicer count in RS-PRDFE receivers, but only when the LEs are realized in analog domain.


IEEE Transactions on Advanced Packaging | 2008

Accurate System Voltage and Timing Margin Simulation in High-Speed I/O System Designs

Kyung Suk Oh; Frank Lambrecht; Sam Chang; Qi Lin; Jihong Ren; Chuck Yuan; Jared L. Zerbe; Vladimir Stojanovic

Accurate analysis of system timing and voltage margin including deterministic and random jitter is crucial in high-speed I/O system designs. Traditional SPICE-based simulation techniques can precisely simulate various deterministic jitter sources, such as intersymbol interference (ISI) and crosstalk from passive channels. The inclusion of random jitter in SPICE simulations, however, results in long simulation time. Innovative simulation techniques based on a statistical simulation framework have been recently introduced to cosimulate deterministic and random jitter effects efficiently. This paper presents new improvements on this statistical simulation framework. In particular, we introduce an accurate jitter modeling technique which accounts for bounded jitter with arbitrary spectrum in addition to Gaussian jitter. We also present a rigorous approach to model duty cycle distortion (DCD). A number of I/O systems are considered as examples to validate the proposed modeling methodology.


IEEE Journal of Solid-state Circuits | 2015

A 40 Gb/s Serial Link Transceiver in 28 nm CMOS Technology

Reza Navid; E-Hung Chen; Masum Hossain; Brian S. Leibowitz; Jihong Ren; Chuen-huei Adam Chou; Barry Daly; Marko Aleksic; Bruce Su; Simon Li; Makarand Shirasgaonkar; Fred Heaton; Jared L. Zerbe

A SerDes operating at 40 Gb/s optimized for chip-to-chip communication is presented. Equalization consists of 2-tap feed-forward equalizers (FFE) in both transmitter and receiver, a 3-stage continuous-time linear equalizer (CTLE) and discrete-time equalizers including a 17-tap decision feedback equalizer (DFE) and a 3-tap sampled-FFE in the receiver. The SerDes is realized in 28-nm CMOS technology with 23.2 mW/Gb/s power efficiency at 40 Gb/s.

Collaboration


Dive into the Jared L. Zerbe's collaboration.

Researchain Logo
Decentralizing Knowledge