Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Brian S. Leibowitz is active.

Publication


Featured researches published by Brian S. Leibowitz.


custom integrated circuits conference | 2009

Simulation and Analysis of Random Decision Errors in Clocked Comparators

Jaeha Kim; Brian S. Leibowitz; Jihong Ren; Chris Madden

Clocked comparators have found widespread use in noise sensitive applications including analog-to-digital converters, wireline receivers, and memory bit-line detectors. However, their nonlinear, time-varying dynamics resulting in discrete output levels have discouraged the use of traditional linear time-invariant (LTI) small-signal analysis and noise simulation techniques. This paper describes a linear, time-varying (LTV) model of clock comparators that can accurately predict the decision error probability without resorting to more general stochastic system models. The LTV analysis framework in conjunction with the linear, periodically time-varying (LPTV) simulation algorithms available from RF circuit simulators can provide insights into the intrinsic sampling and decision operations of clock comparators and the major contribution sources to random decision errors. Two comparators are simulated and compared with laboratory measurements. A 90-nm CMOS comparator is measured to have an equivalent input-referred random noise of 0.73 mVrms for dc inputs, matching simulation results with a short channel excess noise factor ¿ = 2.


international solid-state circuits conference | 2007

A 7.5Gb/s 10-Tap DFE Receiver with First Tap Partial Response, Spectrally Gated Adaptation, and 2nd-Order Data-Filtered CDR

Brian S. Leibowitz; J. Kizer; Hae-Chang Lee; F. Chen; A. Ho; M. Jeeradit; A. Bansal; Trey Greer; Simon Li; R. Farjad-Rad; W. Stonecypher; Yohan Frans; Barry Daly; Fred Heaton; B.W. Gariepp; Carl W. Werner; Nhat Nguyen; Vladimir Stojanovic; Jared L. Zerbe

A 7.5Gb/s receiver has a 3-level DFE architecture to satisfy feedback timing requirements for 10 post-cursor taps. The receiver includes a second-order CDR with partial-response transition data filtering as well as a spectrally gated adaptation engine to prevent equalization updates during poor data patterns. The receiver consumes 136mW in a 90nm CMOS process


IEEE Journal of Solid-state Circuits | 2010

A 4.3 GB/s Mobile Memory Interface With Power-Efficient Bandwidth Scaling

Brian S. Leibowitz; Robert E. Palmer; John W. Poulton; Yohan Frans; Simon Li; John Wilson; Michael Bucher; Andrew M. Fuller; John G. Eyles; Marko Aleksic; Trey Greer; Nhat Nguyen

This paper presents a 4.3 GB/s mobile memory interface that utilizes low power states with rapid transition times to support power efficient signaling over a wide range of effective bandwidths. The fastest power state transition is implemented by a global synchronous clock pause that gates dynamic power consumption without any loss of system state. Extensive use of CMOS circuit topologies, with low static power consumption, provides maximum power savings when the clocks are paused. The memory controller forwards a half bit-rate clock to the memory for synchronous communication, which is similarly paused in the low power state. Thus, dynamic interface power on the memory itself naturally responds to the clock pausing, without any explicit communication from the controller or special low-power state on the memory. Low-swing differential signaling based on a push-pull voltage mode driver results in good signal integrity and power efficiency at peak activity. Test-chips fabricated in a 40 nm low-power CMOS technology achieve 3.3 mW/Gb/s power efficiency at 4.3 GB/s data bandwidth, and support better than 5 mW/Gb/s operation over a range from 0.03 to 4.3 GB/s.


symposium on vlsi circuits | 2008

A 16Gb/s/link, 64GB/s bidirectional asymmetric memory interface cell

Ken Chang; Hae-Chang Lee; Jung-Hoon Chun; Ting Wu; T. J. Chin; Kambiz Kaviani; Jie Shen; Xudong Shi; Wendem Beyene; Yohan Frans; Brian S. Leibowitz; Nhat Nguyen; Fredy Quan; Jared L. Zerbe; Rich Perego; Fari Assaderaghi

An asymmetric memory interface cell with 32 bidirectional data and four unidirectional request links operating at 16 Gb/s per link is implemented in TSMC 65 nm CMOS process technology. Timing adjustment and equalization circuits for both memory read and write are on the controller to reduce the memory cost. Each link operates at a maximum rate of 16 Gb/s with sufficient and comparable margins in both directions at a BER of 10-12. The measured energy efficiency for the controller interface cell is 13 mW/Gb/s under nominal operating conditions.


IEEE Journal of Solid-state Circuits | 2008

Near-Optimal Equalizer and Timing Adaptation for I/O Links Using a BER-Based Metric

E-Hung Chen; Jihong Ren; Brian S. Leibowitz; Hae-Chang Lee; Qi Lin; Kyung Suk Oh; Frank Lambrecht; Vladimir Stojanovic; Jared L. Zerbe; Chih-Kong Ken Yang

A new adaptation strategy of I/O link equalizers is presented based on minimizing the bit error rate (BER) as the objective function to maximize the receiver voltage margin. The adaptation strategy is verified in a 90-nm test chip on both the transmitter finite-impulse response filter (Tx-FIR) and the receiver decision-feedback equalizer (Rx-DFE). The performance is compared with the commonly used sign-sign least mean square (SS-LMS) adaptation and demonstrates significant improvements especially in the case of the Tx-FIR. This paper also demonstrates that in a highly attenuating system that contains both a Tx-FIR and Rx-DFE, using a Tx-FIR subject to peak output power constraint to compensate pre-cursor ISI is worse than solely using an Rx-DFE. The adaptation strategy is further applied to adapt the sampling phase of the clock-and-data recovery loop (CDR). The technique enables near-optimal BER performance by substantially reducing the pre-cursor ISI and requires almost no additional hardware compared to SS-LMS adaptation.


IEEE Transactions on Circuits and Systems | 2011

Equalizer Design and Performance Trade-Offs in ADC-Based Serial Links

Jaeha Kim; E-Hung Chen; Jihong Ren; Brian S. Leibowitz; Patrick Satarzadeh; Jared L. Zerbe; Chih-Kong Ken Yang

This paper investigates the performance benefit of using nonuniformly quantized ADCs for implementing high-speed serial receivers with decision-feedback equalization (DFE). A way of determining an optimal set of ADC thresholds to achieve the minimum bit-error rate (BER) is described, which can yield a very different set from the one that minimizes signal quantization errors. By recognizing that both the loop-unrolling DFE receiver and ADC-based DFE receiver decide each received bit based upon the result of a single slicer, an efficient architecture named reduced-slicer partial-response DFE (RS-PRDFE) receiver is proposed. The RS-PRDFE receiver eliminates redundant or unused slicers from the previous DFE receiver implementations. Both the simulation and measurement results from a 10 Gb/s ADC-based receiver fabricated in 65 nm CMOS technology and multiple backplane channels demonstrate that the RS-PRDFE can achieve the BER of a 3-4-bit uniform ADC only with 4 data slicers. Also, the combined use of linear equalizers (LEs) can further reduce the required slicer count in RS-PRDFE receivers, but only when the LEs are realized in analog domain.


IEEE Journal of Solid-state Circuits | 2015

A 40 Gb/s Serial Link Transceiver in 28 nm CMOS Technology

Reza Navid; E-Hung Chen; Masum Hossain; Brian S. Leibowitz; Jihong Ren; Chuen-huei Adam Chou; Barry Daly; Marko Aleksic; Bruce Su; Simon Li; Makarand Shirasgaonkar; Fred Heaton; Jared L. Zerbe

A SerDes operating at 40 Gb/s optimized for chip-to-chip communication is presented. Equalization consists of 2-tap feed-forward equalizers (FFE) in both transmitter and receiver, a 3-stage continuous-time linear equalizer (CTLE) and discrete-time equalizers including a 17-tap decision feedback equalizer (DFE) and a 3-tap sampled-FFE in the receiver. The SerDes is realized in 28-nm CMOS technology with 23.2 mW/Gb/s power efficiency at 40 Gb/s.


IEEE Transactions on Advanced Packaging | 2009

Advanced Modeling and Accurate Characterization of a 16 Gb/s Memory Interface

Wendemagegnehu T. Beyene; Chris Madden; Jung-Hoon Chun; Hae-Chang Lee; Yohan Frans; Brian S. Leibowitz; Ken Chang; Namhoon Kim; Ting Wu; Gary Yip; Rich Perego

As the input/output (I/O) data rate increases to several gigabits per second, determining the performance of high-speed interfaces using conventional simulation and measurement techniques is becoming very challenging. The models of the interconnects have to be broadband and accurate to represent high frequency and second-order effects such as frequency dependence of dielectric losses and surface roughness. The large and small signal behaviors of the transmitter and receiver circuitries have to be correctly represented in link analysis. In addition, the system simulation needs to properly capture the interactions between the circuits and interconnect subsystems to optimize the overall system. However, determining the values of the critical link parameters and their correlations can be complicated. Some of the key parameters are not deterministic and some cannot be observed directly. A combined modeling and measurement approach is indispensable to determine the performance of high-speed links. This paper presents the modeling and characterization techniques employed in the design and verification of a 16 Gb/s bidirectional asymmetrical memory interface. Direct frequency and time-domain methods as well as indirect techniques based on bit-error-rate testing are used to model and determine important link parameters. Complex de-embedding procedures are utilized to extract parameters from externally observed data. On-chip measurements are also used to complement off-chip instrumentation and accurately measure the true performance of the link. The modeling and characterization of prototypes are also discussed and model-to-hardware correlations are presented at component and system levels. Based on both simulation and measurement results, the behavioral model of the complete system is constructed and statistical simulation technique is used to predict the yield and performance at low bit error rate.


symposium on vlsi circuits | 2008

A 16-Gb/s differential I/O cell with 380fs RJ in an emulated 40nm DRAM process

Nhat Nguyen; Yohan Frans; Brian S. Leibowitz; Simon Li; Reza Navid; Marko Aleksic; Fred S. Lee; Fredy Quan; Jared L. Zerbe; Rich Perego; Fari Assaderaghi

This paper describes a 16-Gb/s differential bidirectional I/O transceiver cell in an emulated 40 nm DRAM process that has a fan-out of four-inverter delay (FO4) of 45 ps, resulting in a bit time that is only 1.4 FO4 delays long. The transceiver implements several techniques to achieve low jitter despite the slow process and constrained power consumption, including a quad rate clocking with closed-loop quadrature correction, a shared LC-PLL with an octagonal inductor in a three-metal process, and a data-dependent regulator. The transceiver has measured random jitter of 380 fs rms at the transmitter output and BER <10-14 while consuming 8 mW/Gb/s.


electrical performance of electronic packaging | 2009

Design and characterization of a 12.8GB/s low power differential memory system for mobile applications

Dan Oh; Sam Chang; Chris Madden; Joong-Ho Kim; Ralf Schmitt; Ming Li; Chuck Yuan Fred Ware; Brian S. Leibowitz; Yohan Frans; Nhat Nguyen

This paper describes the design and characterization of a low power differential memory interface targeted for mobile applications. The initial design of the memory interface achieves 2.7 to 4.3GB/s data bandwidth and consumes 3.3mW/Gb/s at 4.3GB/s operation. The design allows two x16 stacked dies to be fit into a 12mm PoP package, achieving a 12.8GB/s aggregated data bandwidth based on 3.2Gb/s per pin. A low swing signaling based on a voltage-mode differential driver is reviewed and its performance is analyzed. We demonstrate that, compared to LPDDR2 memory interface based on single-ended signaling, the differential memory interface overcomes most of channel related issues such as crosstalk and SSO noise and provides a very clean channel response. Thus, the resulting extra system margin can be used to compensate for extra timing jitter and system noise, enabling lower power and lower system cost. To evaluate the impact of timing jitter and system noise to system performance, a statistical link modeling and simulation methodology is employed. Two test systems are built based on wirebond-based Package-on-Package (PoP) and BGA-based Chip-to-Chip (C2C) module to characterize the memory system performance and to validate the memory statistical link model. The correlation result showed a good agreement in the system bit error rates (BER) between measurement and simulation.

Collaboration


Dive into the Brian S. Leibowitz's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Jaeha Kim

Seoul National University

View shared research outputs
Top Co-Authors

Avatar

Lei Luo

North Carolina State University

View shared research outputs
Researchain Logo
Decentralizing Knowledge