Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jason Eugene Stephens is active.

Publication


Featured researches published by Jason Eugene Stephens.


international electron devices meeting | 2014

Challenges of analog and I/O scaling in 10nm SoC technology and beyond

A. Wei; Jagar Singh; Guillaume Bouche; M. Zaleski; Rod Augur; Biswanath Senapati; Jason Eugene Stephens; Irene Lin; Mahbub Rashed; Lei Yuan; Jongwook Kye; Youngtag Woo; J. Zeng; H. Levinson; A. Wehbi; P. Hang; V. Ton-That; V. Kanagala; D. Yu; D. Blackwell; Adam Beece; Shan Gao; S. Thangaraju; Ramakanth Alapati; Srikanth Samavedam

Continuous process-level and system-level innovation has driven Moores Law scaling for the last fifty years, and will continue to do so in the next decades. In the last two decades, there has been an acceleration of new materials and devices into semiconductor manufacturing, such as low-k, strained Si, high-k, and FinFET, in order to continue process and cost scaling. At the same time, ever increasing component integration on SoCs has further driven cost scaling, allowing the current mobile era to take shape. In the next decade, the focus of SoC innovation will be on patterning and low-resistance materials on the process side, and multi-die package integration on the system side.


international interconnect technology conference | 2017

Planarity considerations in SADP for advanced BEOL patterning

James Chen; Terry A. Spooner; Jason Eugene Stephens; Shao Beng Law; Genevieve Beique; Ben Kim; Martin O'Toole; Louis Lanzerotti; Steven Leibiger; E. Todd Ryan; Shreesh Narasimha; Craig Child

In this paper, the impact of gap-fill planarity on Multi-Self-Aligned Block, SADP (self-aligned double patterning) process for advanced optical technology nodes (7 nm/5 nm) interconnects was studied through process emulations. This study specifically focuses on the insertion of an etch stop layer (ESL) between two coatings of organic planarization layer (OPL), referred to as the tri-layer PM (pattern mask), which enables a thinner OPL for pattern transfer while adding topography correction for non-mandrel block patterning processes. This scheme reduces pillar aspect ratio for improved CD control and flop-over mitigation, as well as topography correction to mitigation false metal patterns in field regions. However, ESL could cause CD variation if it was deposited on the sidewall of spacer where it is a function of the conformality of ESL deposition.


international interconnect technology conference | 2017

Segment removal strategy in SAQP for advanced BEOL application

James Chen; Terry A. Spooner; Jason Eugene Stephens; Martin O'Toole; Nicholas V. LiCausi; Ben Kim; Shreesh Narasimha; Craig Child

In this paper, a strategy of performing segment removal in an SAQP (self-aligned quadruple patterning) and its implication on interconnect parasitic capacitance are reported. In order to reduce the cost and process complexity, through process emulations, this study specifically focuses on not introducing additional lithography step(s) or material to the conventional SADP (self-aligned double patterning) integration. Four SAQP process integrations are demonstrated to selectively remove dummy lines in between the signal lines from sea of lines, as a result, the line to line capacitance can be reduced. The conventional non-mandrel block lithography step will only remove every other line. Typically, to remove more lines requires an additional hard mask layer and a first non-mandrel block lithography step where the line to line capacitance can be further reduced. However, in this study, a double spacer transfer scheme is proposed to achieve the same final structure but without the additional hard mask layer and lithography step. Therefore, this could be another option for 7 nm or 5 nm process integration of BEOL interconnects.


international interconnect technology conference | 2016

10nm local interconnect challenge with iso-dense loading and improvement with ALD spacer process

Ming He; Christopher Ordonio; Chun Hui Low; Peter Welti; Granger Lobb; Aleksandra Clancy; Jeff Shu; Ayman Hamouda; Jason Eugene Stephens; Ketan Shah; Ashwini Chandrasekhar; Mary Claire Silvestre; Prakash Periasamy; Anbu Selvam Km Mahalingam; Shyam Pal; Craig Child

10nm M1 local interconnect is using three-color litho-etch-litho-etch-litho-etch (LELELE) integration to enable technology scaling. This paper discusses the challenges to balance the three-color density in critical standard cell scaling, illustrates the limited process margin resulting from iso-dense loading during dry etch CD shrink, and proposes a novel ALD spacer-shrink process which improves iso-dense CD difference by 50%.


Archive | 2014

FORMING CROSS-COUPLED LINE SEGMENTS

David Pritchard; Jason Eugene Stephens


Archive | 2015

Methods of cross-coupling line segments on a wafer

Jason Eugene Stephens; Lei Yuan; Lixia Lei; David Pritchard; Tuhin Guha Neogi


Archive | 2016

METHOD FOR CREATING SELF-ALIGNED TRANSISTOR CONTACTS

Mark A. Zaleski; Andy Chih-Hung Wei; Jason Eugene Stephens; Tuhin Guha Neogi; Guillaume Bouche


Archive | 2015

MIDDLE-OF-THE-LINE CONSTRUCTS USING DIFFUSION CONTACT STRUCTURES

Mahbub Rashed; Yuansheng Ma; Irene Lin; Jason Eugene Stephens; Yunfei Deng; Yuan Lei; Jongwook Kye; Rod Augur; Shibly Ahmed; Subramani Kengeri; Suresh Venkatesan


Archive | 2013

14 LPM CONTACT POWER RAIL

Jason Eugene Stephens; Marc Tarabbia; Nader Magdy Hindawy; Roderick Alan Augur


Archive | 2013

Self-aligned double patterning via enclosure design

Jongwook Kye; Harry J. Levinson; Jason Eugene Stephens; Lei Yuan

Collaboration


Dive into the Jason Eugene Stephens's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge