Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jean-Olivier Plouchart is active.

Publication


Featured researches published by Jean-Olivier Plouchart.


international electron devices meeting | 2007

Record RF performance of 45-nm SOI CMOS Technology

Sungjae Lee; Basanth Jagannathan; Shreesh Narasimha; Anthony I. Chou; Noah Zamdmer; J. Johnson; Richard Q. Williams; Lawrence Wagner; Jonghae Kim; Jean-Olivier Plouchart; John J. Pekarik; Scott K. Springer; Greg Freeman

We report record RF performance in 45-nm silicon-on- insulator (SOI) CMOS technology. RF performance scaling with channel length and layout optimization is demonstrated. Peak fTs of 485 GHz and 345 GHz are measured in floating- body NFET and PFET with nearby wiring parasitics (i.e., gate- to-contact capacitance) included after de-embedding, thus representing FET performance in a real design. The measured fTs are the highest ever reported in a CMOS technology. Body- contacted FETs are also analyzed that have layout optimized for high-frequency analog applications. Employing a notched body contact layout, we reduce parasitic capacitance and gate leakage current significantly, thus improving RF performance with low power. For longer than minimum channel length and a body-contacted NFET with notched layout, we measure a peak fT of 245 GHz with no degradation in critical analog figures of merit, such as self-gain.


IEEE Transactions on Electron Devices | 2006

Modeling of Variation in Submicrometer CMOS ULSI Technologies

Scott K. Springer; Sungjae Lee; Ning Lu; Edward J. Nowak; Jean-Olivier Plouchart; Josef S. Watts; Richard Q. Williams; Noah Zamdmer

The scaling of semiconductor technologies from 90- to 45-nm nodes highlights the need for accurate and predictive compact models that address the regime where small-scale physical effects become dominant. These demanding requirements on compact models extend beyond the core model to a suite of design tools that include extraction tools and statistical methods to account for unpredictable variation (e.g., random dopant fluctuations and polysilicon linewidth variation) and predictable variation (e.g., transistor response differences that are layout dependent). Layout-dependent or local environment differences are driven by factors such as lithography and novel performance-enhancing process techniques such as dual-stress nitride liner films. Sources of variation such as rapid thermal annealing temperature, low-frequency noise, and modeling of back-end-of-line elements need to be considered. The modeling of intradie and interdie variations, updated for small geometries, should be properly positioned in the design flow. This paper presents the challenges and results of compact modeling at the 65-nm node and beyond


radio frequency integrated circuits symposium | 2000

A fully-monolithic SiGe differential voltage-controlled oscillator for 5 GHz wireless applications

Jean-Olivier Plouchart; Herschel A. Ainspan; Mehmet Soyuer; Albert Ruehli

A fully integrated and differential SiGe VCO was designed for 5 GHz wireless applications. The measured phase noise is -98 dBc/Hz at 100 kHz offset off the 5 GHz carrier. It has a tuning range of 12.3% with a control voltage from 0 to 3 V, and a figure of merit of more than -180 dBc/Hz, The current drawn from 3 V is 5 mA for the core and 2.2 mA for the output buffers.


IEEE Journal of Solid-state Circuits | 2004

A low-voltage 40-GHz complementary VCO with 15% frequency tuning range in SOI CMOS technology

Neric Fong; Jonghae Kim; Jean-Olivier Plouchart; Noah Zamdmer; Duixian Liu; L. Wagner; Calvin Plett; G. Tarr

The design of a low-voltage 40-GHz complementary voltage-controlled oscillator (VCO) with 15% frequency tuning range fabricated in 0.13-/spl mu/m partially depleted silicon-on-insulator (SOI) CMOS technology is reported. Technological advantages of SOI over bulk CMOS are demonstrated, and the accumulation MOS (AMOS) varactor limitations on frequency tuning range are addressed. At 1.5-V supply, the VCO core and each output buffer consumes 11.25 mW and 3 mW of power, respectively. The measured phase noise at 40-GHz is -109.73 dBc/Hz at 4-MHz offset from the carrier, and the output power is -8 dBm. VCO performance using high resistivity substrate (/spl sim/300-/spl Omega//spl middot/cm) has the same frequency tuning range but 2 dB better phase noise compared with using low resistivity substrate (10 /spl Omega//spl middot/cm). The VCO occupies a chip area of only 100 /spl mu/m by 100 /spl mu/m (excluding pads).


IEEE Electron Device Letters | 1998

SiGe power HBT's for low-voltage, high-performance RF applications

Joachim N. Burghartz; Jean-Olivier Plouchart; Keith A. Jenkins; Charles S. Webster; Mehmet Soyuer

Silicon-Germanium (SiGe) power heterojunction bipolar transistors (HBTs) are fabricated by using two or ten device unit cells with an emitter area of 5/spl times/0.5/spl times/16.5 /spl mu/m/sup 2/ each. The large power transistor features 1 W RF output power at 3-dB gain compression, 3.5 V bias, and 2.4 GHz with a maximum power-added-efficiency (PAE) of 48% for class A/B operation. At a supply voltage of 1.5 V, the transistor delivers a 3-dB RF output power of 150 mW with a PAE of 47%. It is shown that a high collector doping level is advantageous for low-voltage operation. Further, by using special bias sense ports, the interconnect losses are found to degrade the device performance to a considerable degree.


IEEE Journal of Solid-state Circuits | 2013

A Linearized, Low-Phase-Noise VCO-Based 25-GHz PLL With Autonomic Biasing

Bodhisatwa Sadhu; Mark A. Ferriss; Arun Natarajan; Soner Yaldiz; Jean-Olivier Plouchart; Alexander V. Rylyakov; Alberto Valdes-Garcia; Benjamin D. Parker; Aydin Babakhani; Scott K. Reynolds; Xin Li; Lawrence T. Pileggi; Ramesh Harjani; Tierno; Daniel J. Friedman

This paper describes a new approach to low-phasenoise LC VCO design based on transconductance linearization of the active devices. A prototype 25 GHz VCO based on this linearization approach is integrated in a dual-path PLL and achieves superior performance compared to the state of the art. The design is implemented in 32 nm SOI CMOS technology and achieves a phase noise of - 130 dBc/Hz at a 10 MHz offset from a 22 GHz carrier. Additionally, the paper introduces a new layout approach for switched capacitor arrays that enables a wide tuning range of 23%. More than 1500 measurements of the PLL across PVT variations were taken, further validating the proposed design. Phase noise variation across 55 dies for four different frequencies is σ < 0.6 dB. Also, phase noise variation across supply voltages of 0.7-1.5 V is 2 dB and across 60 °C temperature variation is 3 dB. At the 25 GHz center frequency, the VCO FOMT is 188 dBc/Hz. Additionally, a digitally assisted autonomic biasing technique is implemented in the PLL to provide a phase noise and power optimized VCO bias across frequency and process. Measurement results indicate the efficacy of the autonomic biasing scheme.


international solid-state circuits conference | 2005

A 44GHz differentially tuned VCO with 4GHz tuning range in 0.12 /spl mu/m SOI CMOS

Jonghae Kim; Jean-Olivier Plouchart; Noah Zamdmer; Robert Trzcinski; Kun Wu; B.J. Gross; Moon J. Kim

A differentially tuned VCO is fully integrated in a standard microprocessor 0.12 /spl mu/m SOI CMOS. A phase noise of -101.8dBc/Hz at 1MHz offset is measured with 7.5mW at 1.5V. The VCO tuning range is 9.8% from 40GHz to 44GHz. The output power is up to -6dBm after a single-stage buffer amplifier with 6mW at 1.5V.


international solid-state circuits conference | 2009

Bang-bang digital PLLs at 11 and 20GHz with sub-200fs integrated jitter for high-speed serial communication applications

Alexander V. Rylyakov; Jose A. Tierno; Herschel A. Ainspan; Jean-Olivier Plouchart; John F. Bulzacchelli; Z. Toprak Deniz; Daniel J. Friedman

Wireline communication applications typically require a low-phase-noise wide-tuning-range PLL. While these requirements can be met using traditional charge-pump PLL architectures, a high-performance digital PLL (DPLL)-based solution offers potential advantages in area, testability, and flexibility. Nearly all high-performance DPLL architectures reported in the literature to date (see, e.g., [1–3]) incorporate a time-to-digital converter (TDC) that acts as the loops PFD. Subject to its quantization limits, a high-resolution TDC generates output signals proportional to the phase error at its input, effectively linearizing the PFD response. It should be noted, however, that reported high-performance TDC-based DPLLs have generally been fractional-N, i.e., not integer-N, synthesizers. In a fractional-N loop, the phase difference between the feedback clock and the reference clock at the PFD input varies significantly, frequently jumping by as much as a full output clock period from one phase comparison to the next. At 10GHz output, this results in a 100ps phase shift, thus making a TDC with resolution on the order of 10 to 20ps adequate to generate multiple quantization levels. In an integer-N case, by contrast, a PLL with 500fsrms jitter at the output and a typical feedback divider value in the range of 16 to 40 would have feedback phase jitter of only 2 to 3.2psrms. In this low noise situation, a TDC with less than 3.2ps of resolution would act essentially like a bang-bang PFD (BB-PFD). Existing wireline communication PLLs are predominantly integer-N designs with strict system-level requirements on the rms jitter. A DPLL designer targeting these applications, therefore, would have to face the challenging and ever-increasing requirements on TDC resolution, or to find a way of using a BB-PFD.


symposium on vlsi technology | 2004

A 243-GHz F/sub t/ and 208-GHz F/sub max/, 90-nm SOI CMOS SoC technology with low-power millimeter-wave digital and RF circuit capability

Noah Zamdmer; Jonghae Kim; R. Trzcinski; Jean-Olivier Plouchart; Shreesh Narasimha; M. Khare; Lawrence Wagner; S. Chaloux

SOI CMOS technology offers low parasitic junction capacitance, and therefore provides speed and power enhancements to digital applications compared to bulk CMOS. It is also emerging as a good candidate for high-performance SoC, with integratable RF circuits that operate beyond 30-GHz already demonstrated at the 130-nm technology node. The digital aspects of the base 90-nm SOI technology were previously reported. This paper presents the RF performance of this technology, and shows that the capabilities of CMOS technology are expanding into the millimeter-wave regime.


radio frequency integrated circuits symposium | 2003

A power-efficient 33 GHz 2:1 static frequency divider in 0.12-/spl mu/m SOI CMOS

Jean-Olivier Plouchart; Jonghae Kim; Hector Recoules; Noah Zamdmer; Yue Tan; M. Sherony; A. Ray; Lawrence Wagner

A 2:1 static frequency divider was fabricated in a 0.12-/spl mu/m SOI CMOS technology. The divider exhibits a maximum operating frequency of 33 GHz. When the power consumption is scaled down to 2.7 mW, a maximum operating frequency of 25 GHz is measured.

Researchain Logo
Decentralizing Knowledge