Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jeff Farnsworth is active.

Publication


Featured researches published by Jeff Farnsworth.


SPIE's 1995 Symposium on Microlithography | 1995

Sub-micron low-k1 imaging characteristics using a DUV printing tool and binary masks

Pei-yang Yan; Robert F. Hainsey; Jeff Farnsworth; Julaine H. Neff

In this paper, wafer level experimental results on the characteristics of a low-k1 submicron lithography processes using binary mask and deep ultraviolet (DUV) wavelength (248 nm) printing tool will be discussed. In the study, we investigated the nonlinear response of wafer resist critical dimension (CD) at different pitches to the mask CD. The CD nonlinearity is due to the combination of relatively poor optical aerial image quality at low-k1 imaging and resist processes. Operating a lithographic process at this nonlinear region presents an issue since only limited process windows are available. In addition, it requires both proximity correction for larger features on the mask and tighter mask CD control. The later requirement, in practice, is rather difficult to achieve with current mask technology. In order to avoid operating low-k1 lithography processes at CD nonlinear region, improvement of aerial image quality is obviously needed. Although aerial image quality improvement can be achieved with different advanced lithographic enhancement techniques such as phase shift masks and off- axis illumination, there are different process issues related to each of the techniques as well. With conventional illumination source and binary mask, one of the option left to enhance aerial image quality is mask biasing. Our experimental results show that by positively biasing the mask, i.e., mask chrome CD line dimension is larger than targeted resist CD, CD nonlinearity for low-k1 processes can be improved. For low- k1 processes, the isofocal bias of different feature sizes (different k1 factor) was also found to be different. A strong dependence of isofocal bias on pitch was observed. Again, mask biasing can help to shift the isofocal CD of critical dimensions to the target CD. However, in our study, we found that for certain resist, opposite mask biases are required to achieve CD linear response and zero isofocal bias. In this case, tradeoffs between mask CD control, proximity effect, and process window need to be considered.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

EUV Mask Process Development and Integration

Guojing Zhang; Pei-Yang Yan; Ted Liang; Yan Du; Peter Sanchez; Seh-Jin Park; Eric J. Lanzendorf; Chang Ju Choi; Emily Y. Shu; Alan R. Stivers; Jeff Farnsworth; Kangmin Hsia; Manish Chandhok; Michael J. Leeson; Gilroy Vandentop

It becomes increasingly important to have an integrated process for Extreme UltraViolet (EUV) mask fabrication in order to meet all the requirements for the 32 nm technology node and beyond. Intel Corporation established the EUV mask pilot line by introducing EUV-specific tool sets while capitalizing on the existing photomask technology and utilizing the standard photomask equipment and processes in 2004. Since then, significant progress has been made in many areas including absorber film deposition, mask patterning optimization, mask blank and patterned mask defect inspection, pattern defect repair, and EUV mask reflectivity metrology. In this paper we will present the EUV mask process with the integrated solution and the results of the mask patterning process, Ta-based in-house absorber film deposition, absorber dry etch optimization, EUV mask pattern defect inspection, absorber defect repair, and mask reflectivity performance. The EUV resist wafer print using the test masks that are fabricated in the EUV mask pilot line will be discussed as well.


Photomask and x-ray mask technology. Conference | 1997

Application of image-processing software to characterize the photomask key parameters for future technologies

An Tran; Michael R. Schmidt; Jeff Farnsworth; Pei-yang Yan

The intent of photomask metrology has always been to understand the relationship between the features on the photomask and the design data. This desire has been actuated by the necessity to close the loop between the photomask process steps and the wafer lithography. As the Si technology approaches 0.25 micron and beyond, and the employment of resolution enhancement techniques (OPC and phase shifting mask) to extend the capability of optical lithography, both the photomask critical dimension control and pattern fidelity become important and challenging. Describing the features accurately on the photomask is critical to ensure the quality of photomasks. In this paper, the image processing software is examined for measuring and characterizing key photomask parameters, such as edge roughness, edge defects, isolated defects, corner rounding, and contacts. With this image processing software, the effect of mask processing on those key mask parameters, and the impact of those key parameters on defect printability were characterized. Thus, the application of image processing software will contribute immensely to photomask metrology.


Proceedings of SPIE | 2008

Fabrication of defect-free full-field pixelated phase mask

Wen-Hao Cheng; Jeff Farnsworth; Wai Kwok; Andrew Jamieson; Nathan Wilcox; Matt Vernon; Karmen Yung; Yi-Ping Liu; Jun Kim; Eric Frendberg; Scott Chegwidden; Richard Schenker; Yan Borodovsky

Pixelated phase masks rendered from computational lithography techniques demand one generation-ahead mask technology development. In this paper, we reveal the accomplishment of fabricating Cr-less, full field, defect-free pixilated phase masks, including integration of tapeout, front-end patterning and backend defect inspection, repair, disposition and clean. This work was part of a comprehensive program within Intel which demonstrated microprocessor device yield. To pattern mask pixels with lateral sizes <100nm and vertical depth of 170nm, tapeout data management, ebeam write time management, aggressive pattern resolution scaling, etch improvement, new tool insertion and process integration were co-optimized to ensure good linearity of lateral, vertical dimensions and sidewall angle of glass pixels of arbitrary pixelated layout, including singlets, doublets, triplets, touch-corners and larger scale features of structural tones including pit/trench and pillar/mesa. The final residual systematic mask patterning imperfections were corrected and integrated upstream in the optical model and design layout. The volume of 100nm phase pixels on a full field reticle is on the order tera-scale magnitude. Multiple breakthroughs in backend mask technology were required to achieve a defect free full field mask. Specifically, integration of aerial image-based defect inspection, 3D optical model-based high resolution ebeam repair and disposition were introduced. Significant reduction of pixel mask specific defect modes, such as electro static discharge and glass pattern collapse, were executed to drive defect level down to single digit before attempt of repair. The defect printability and repair yield were verified downstream through silicon wafer print test to validate defect free mask performance.


Proceedings of SPIE, the International Society for Optical Engineering | 2005

Vectorial effects in subwavelength mask imaging

Wen-Hao Cheng; Jeff Farnsworth; Theodore M. Bloomstein; Andrew Grenville

Ultra high numerical aperture (NA) enables extension of ArF lithography for the 45 technology node and beyond. The resulting changes in design rules drives feature sizes on the mask into the sub-wavelength regime. As 2-beam imaging techniques (off-axis illumination and alternating phase shift mask) are required for strong resolution enhancement in low-k1 lithography, traditional scalar and paraxial approximations used for optical image modeling are no longer valid in the ultra high NA regime. Vector and thick-mask based models are required to account for topographic effects and large angles of incident light at the reticle plane in ultra-high NA systems. Although vector-based imaging theory is well understood, experimental validation is required to ensure the appropriate topographical and optical parameters are being used. To address these issues, finite-difference time-domain rigorous electromagnetic simulation are compared to experimental measurements of the polarization dependent diffraction efficiencies on advanced optical reticles. Based on these results, the impact of mask induced polarization to vectorial imaging latitude is assessed. The impact of polarization purity, mask absorber profile, and Fresnel effects through the pellicle on process window and OPC are also discussed.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Fundamental limit of ebeam lithography

Wen-Hao Cheng; Jeff Farnsworth

Particle beams with collimated discrete charged carriers such as electrons have been employed to lithographically transfer design patterns onto the photoresist for fabrication of devices, such as photomasks. In this paper, we use a single standard deviation σ of total blur based on Gaussian convolution kernel to address the limit of ebeam lithography, where the total blur is constituted of several mechanisms, including space charge effect within the ebeam, shot noise, resist diffusion, and photoacid fluctuation, etc. Based on the Gaussian blur imaging formalism including both electron forward scattering and backward scattering, we derive a fundamental principle based analysis to address the patterning resolution limit, local pattern density (LPD) dependent critical dimension (CD) proximity bias, CD non-linearity, image edge-slope, 2D corner pull back and 2D touch corner structures. Assuming a minimum normalized image log slope of NILS >= 1 across all LPD is required for high volume manufacturability, the requirement of maximum total blur can be derived as σ≤CD/2.4 for a given exposed feature size targeted to pattern. The objective of this paper is to establish a predictive model with simplicity for fundamental limit of ebeam lithography, and accordingly to define the requirement of blur reduction for meeting technology roadmap spec. The key emphasis of this paper is to highlight that mask patterning capability is becoming resolution limited with equipment and material available today. This is an inflection point! An integrated plan for total blur reduction is urgently needed for ebeam lithography to continue enabling technologies moving beyond 45nm and 32nm nodes.


Proceedings of SPIE | 2007

Control of polarization and apodization with film materials on photomasks and pellicles for high NA imaging performance

Wen-Hao Cheng; Jeff Farnsworth

Deviations from paraxial image models are significant at numerical apertures (NA) planned to support immersion lithography. Apodization and rotation of polarization by high numerical optics are well-characterized phenomena. Similar behaviors follow from intrinsic properties of photomask patterns at high spatial frequencies. Diffraction efficiencies differ from values predicted using Kirchhoff boundary conditions, and depend on polarization. Pellicles also apodize diffraction patterns because reflection losses depend on angle of incidence and polarization. These effects are large enough to influence contrast, critical dimension and depth of focus of images thru pitch. These vectorial effects may degrade image quality, or invalidate models for optical proximity correction (OPC) that do not properly comprehend them. What matters for the image is the cumulative contribution of mask pattern, pellicle and optics. The objective in this study is to provide a systematic and unified mapping the optical landscape of mask patterned film and pellicle membrane materials as well as their impacts to vectorial imaging performance. We conclude that to optimize image performance, it is essential to balance the diffraction beams angle, phase and amplitude. And there are non-unique reticle (mask scatter and pellicle membrane) material solutions to enable high (NA) immersion lithography.


Photomask and next-generation lithography mask technology. Conference | 2003

Alternating phase shift mask architecture scalability, implementations, and applications for 90-nm and 65-nm technology nodes and beyond

Wen-Hao Cheng; Kishore K. Chakravorty; Jeff Farnsworth

Alternating phase shift mask (altPSM) as a strong resolution enhancement technique is increasingly required to meet the tighter lithographic requirements on gate critical dimension (CD) control, depth of focus and low k1 applications in full chip patterning of logic and memory devices. While the frequency doubling mechanism of altPSM benefits the quality of imaging, the inherent intensity asymmetry between phase shifters, or image imbalance, causes line shift. The effect of mask topography on electromagnetic wave propagation must be compensated in practice. Various designs of mask structure for correcting the intrinsic imaging asymmetry have been extensively studied. In this paper, we discuss several image imbalance correction methods for hidden phase edge altPSM architectures, including chrome undercut, shifter width sizing, sidewall chrome alternating aperture mask. We compared both hidden phase edge as well as exposed phase edge altPSM in terms of scalability, image correction effectiveness, and manufacturability for 90-nm, 65-nm technology nodes and beyond. Specifically, we define the altPSM architecture scalability in terms of three key components: 1. Mask manufacturability, design layout complexity, and effectiveness of image balance correction, 2. Mask patterning resolution, pattern fidelity, image placement, CD & overlay control at both chrome and glass levels, 3. Tightening quartz etch process control for given phase error tolerance. Applications of altPSM technology to line/space, hole, and phase shifted assisted features patterning with various altPSM architectures are also addressed.


18th Annual BACUS Symposium on Photomask Technology and Management | 1998

Impact of the loading effect on CD control in plasma etching of Cr photomasks using ZEP 7000 resist

Frederick T. Chen; W. Tsai; Scott Chegwidden; S. Yu; Marilyn Kamna; Jeff Farnsworth; Thomas P. Coleman

As requirement of CD uniformity on photomask continue to tighten with advanced logic and memory devices, new process technologies will be needed to be developed to address the gap of process capability. For instance, a less than 20 nm CD range will be required on a 0.18 micrometer generation logic devices with a nominal field area of 120 X 120 mm. New technologies such as high energy e-beam write (to reduce forward scattering), advanced e-beam photoresist and plasma etch processes are currently being developed to achieve such stringent CD uniformity specifications. One of the key issues of plasma etch technology is related to microloading effects which accounts for a major portion of CD budgets. In this work, an engineering test mask was designed to identify etch microloading mechanisms and to improve performance of a standard Magnetic-Enhanced Reactive Ion Etch (MERIE) process. Additional comparison of CD microloading was also made with an Inductively-Coupled Plasma (ICP) etch process.


Photomask and X-Ray Mask Technology II | 1995

248-nm DUV MoSiON embedded phase-shifting mask for 0.25 micrometer lithography

Giang T. Dao; Gang Liu; Robert F. Hainsey; Jeff Farnsworth; Yasuo Tokoro; Susumu Kawada; Tsuneo Yamamoto; Nobuyuki Yoshioka; Akira Chiba; Hiroaki Morimoto

Over the past five years worldwide efforts have been made to develop new techniques for optical lithography enhancement. These techniques include optical proximity correction, off-axis illumination, pupil filtering and phase-shifting mask (PSM). Among many phase-shifting mask approaches, embedded PSM (EPSM) method has drawn significant interest due to its relatively simple reticle fabrication process and excellent lithographic performance, in particular, for dark field mask layers such as contact and via holes. Perhaps, the most difficult task in materializing the EPSM technology is the creation of a thin film structure that controls both phase and transmission. In addition, this film structure must withstand severe environment of mask making process and yet can be inspected and repaired successfully using currently available tool sets. The newly developed MoSiON material meets these requirements and has demonstrated a feasibility for DUV EPSM pilot production. In this paper, characteristics of the DUV lifetime test results. Details of reticle fabrication process including e-beam writing, dry etching, inspection and repair will be presented along with chemical durability data and process capability. Finally, wafer level lithographic performance for contact holes printed on a step-and-scan and a projection aligner will be shown to demonstrate lithographic performance of 248 nm DUV EPSM for 0.25 micrometer lithography.

Collaboration


Dive into the Jeff Farnsworth's collaboration.

Researchain Logo
Decentralizing Knowledge