Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Wen-Hao Cheng is active.

Publication


Featured researches published by Wen-Hao Cheng.


Proceedings of SPIE | 2008

Pixelated Phase Mask as Novel Lithography RET

Yan Borodovsky; Wen-Hao Cheng; Richard Schenker; Vivek Singh

Novel RET-Pixelated Phase Mask (PPM) is proposed as a novel Resolution Enhancement Technique (RET). PPM is made of pixels of various phases with lateral dimensions significantly smaller than the illuminating radiation wavelength. Such PPM with a singular choice of pixel dimensions acts as a mask with variable phase and transmission due to radiation scattering and attenuation on pixel features with the effective intensity and phase modulated by the pixel layout. Key properties of the pixelated phase masks, the steps for their practical realization, and the benefits to random logic products discussed. Wafer patterning performance and comparative functional yield results obtained for a 65nm node microprocessor patterned with PPM, as well as current PPM limitations are also presented.


Proceedings of SPIE | 2008

Integration of pixelated phase masks for full-chip random logic layers

Richard Schenker; Srinivas Bollepalli; Bin Hu; Kenny Kal Vin Toh; Vivek Singh; Karmen Yung; Wen-Hao Cheng; Yan Borodovsky

This work describes the advantages, tolerances and integration issues of using Pixelated Phase Masks for patterning logic interconnect layers. Pixelated Phase Masks (PPMs) can act as variable high-transmission attenuated phase shift masks where the pixelated phase configuration simultaneously optimizes OPC and SRAF generation. Thick mask effects help enable PPMs by allowing larger minimum pixel sizes and phase designs with near equal sized zero and piphase regions. PPMs with a 3-tone pixel mask (un-etched glass, etched glass, chrome) offer more flexible patterning capability compared to 2-tone pixel mask (no chrome) style but at the detriment of a more complex mask making process. We describe the issues and opportunities associated with using PPMs for patterning a 65nm generation first level metal layer of a micro-processor.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

The MEEF NILS divergence for low k1 lithography

Richard Schenker; Wen-Hao Cheng; Gary Allen

For tight pitch patterning with sub-wavelength mask features, simulations and wafer data show that many mask stacks that provide superior image contrast, can provide inferior MEEF performance. For example, 6% MoSi EPSM is found to have higher MEEF than binary masks despite having better contrast and exposure latitude when equal lines and spaces on the mask are used to pattern equal lines and spaces on the wafer. Likewise, the deposition of SiO2 on-top of the chrome surface of a binary mask improves contrast but degrades MEEF compared to a binary mask. When contrast is varied by mask stack or by print bias, MEEF is poorly correlated with contrast and often increases with increasing contrast. The optimal print bias for exposure latitude is significantly different than the optimum print bias for MEEF. MEEF, on the other hand, is highly correlated with the difference between maximum and minimum intensity when one varies mask stack, print bias and illumination. Analytical MEEF equations are derived that support this strong relationship between MEEF and the difference between maximum and minimum intensity.


Proceedings of SPIE | 2008

Fabrication of defect-free full-field pixelated phase mask

Wen-Hao Cheng; Jeff Farnsworth; Wai Kwok; Andrew Jamieson; Nathan Wilcox; Matt Vernon; Karmen Yung; Yi-Ping Liu; Jun Kim; Eric Frendberg; Scott Chegwidden; Richard Schenker; Yan Borodovsky

Pixelated phase masks rendered from computational lithography techniques demand one generation-ahead mask technology development. In this paper, we reveal the accomplishment of fabricating Cr-less, full field, defect-free pixilated phase masks, including integration of tapeout, front-end patterning and backend defect inspection, repair, disposition and clean. This work was part of a comprehensive program within Intel which demonstrated microprocessor device yield. To pattern mask pixels with lateral sizes <100nm and vertical depth of 170nm, tapeout data management, ebeam write time management, aggressive pattern resolution scaling, etch improvement, new tool insertion and process integration were co-optimized to ensure good linearity of lateral, vertical dimensions and sidewall angle of glass pixels of arbitrary pixelated layout, including singlets, doublets, triplets, touch-corners and larger scale features of structural tones including pit/trench and pillar/mesa. The final residual systematic mask patterning imperfections were corrected and integrated upstream in the optical model and design layout. The volume of 100nm phase pixels on a full field reticle is on the order tera-scale magnitude. Multiple breakthroughs in backend mask technology were required to achieve a defect free full field mask. Specifically, integration of aerial image-based defect inspection, 3D optical model-based high resolution ebeam repair and disposition were introduced. Significant reduction of pixel mask specific defect modes, such as electro static discharge and glass pattern collapse, were executed to drive defect level down to single digit before attempt of repair. The defect printability and repair yield were verified downstream through silicon wafer print test to validate defect free mask performance.


Proceedings of SPIE, the International Society for Optical Engineering | 2005

Vectorial effects in subwavelength mask imaging

Wen-Hao Cheng; Jeff Farnsworth; Theodore M. Bloomstein; Andrew Grenville

Ultra high numerical aperture (NA) enables extension of ArF lithography for the 45 technology node and beyond. The resulting changes in design rules drives feature sizes on the mask into the sub-wavelength regime. As 2-beam imaging techniques (off-axis illumination and alternating phase shift mask) are required for strong resolution enhancement in low-k1 lithography, traditional scalar and paraxial approximations used for optical image modeling are no longer valid in the ultra high NA regime. Vector and thick-mask based models are required to account for topographic effects and large angles of incident light at the reticle plane in ultra-high NA systems. Although vector-based imaging theory is well understood, experimental validation is required to ensure the appropriate topographical and optical parameters are being used. To address these issues, finite-difference time-domain rigorous electromagnetic simulation are compared to experimental measurements of the polarization dependent diffraction efficiencies on advanced optical reticles. Based on these results, the impact of mask induced polarization to vectorial imaging latitude is assessed. The impact of polarization purity, mask absorber profile, and Fresnel effects through the pellicle on process window and OPC are also discussed.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Fundamental limit of ebeam lithography

Wen-Hao Cheng; Jeff Farnsworth

Particle beams with collimated discrete charged carriers such as electrons have been employed to lithographically transfer design patterns onto the photoresist for fabrication of devices, such as photomasks. In this paper, we use a single standard deviation σ of total blur based on Gaussian convolution kernel to address the limit of ebeam lithography, where the total blur is constituted of several mechanisms, including space charge effect within the ebeam, shot noise, resist diffusion, and photoacid fluctuation, etc. Based on the Gaussian blur imaging formalism including both electron forward scattering and backward scattering, we derive a fundamental principle based analysis to address the patterning resolution limit, local pattern density (LPD) dependent critical dimension (CD) proximity bias, CD non-linearity, image edge-slope, 2D corner pull back and 2D touch corner structures. Assuming a minimum normalized image log slope of NILS >= 1 across all LPD is required for high volume manufacturability, the requirement of maximum total blur can be derived as σ≤CD/2.4 for a given exposed feature size targeted to pattern. The objective of this paper is to establish a predictive model with simplicity for fundamental limit of ebeam lithography, and accordingly to define the requirement of blur reduction for meeting technology roadmap spec. The key emphasis of this paper is to highlight that mask patterning capability is becoming resolution limited with equipment and material available today. This is an inflection point! An integrated plan for total blur reduction is urgently needed for ebeam lithography to continue enabling technologies moving beyond 45nm and 32nm nodes.


Proceedings of SPIE | 2007

Control of polarization and apodization with film materials on photomasks and pellicles for high NA imaging performance

Wen-Hao Cheng; Jeff Farnsworth

Deviations from paraxial image models are significant at numerical apertures (NA) planned to support immersion lithography. Apodization and rotation of polarization by high numerical optics are well-characterized phenomena. Similar behaviors follow from intrinsic properties of photomask patterns at high spatial frequencies. Diffraction efficiencies differ from values predicted using Kirchhoff boundary conditions, and depend on polarization. Pellicles also apodize diffraction patterns because reflection losses depend on angle of incidence and polarization. These effects are large enough to influence contrast, critical dimension and depth of focus of images thru pitch. These vectorial effects may degrade image quality, or invalidate models for optical proximity correction (OPC) that do not properly comprehend them. What matters for the image is the cumulative contribution of mask pattern, pellicle and optics. The objective in this study is to provide a systematic and unified mapping the optical landscape of mask patterned film and pellicle membrane materials as well as their impacts to vectorial imaging performance. We conclude that to optimize image performance, it is essential to balance the diffraction beams angle, phase and amplitude. And there are non-unique reticle (mask scatter and pellicle membrane) material solutions to enable high (NA) immersion lithography.


Photomask and next-generation lithography mask technology. Conference | 2003

Alternating phase shift mask architecture scalability, implementations, and applications for 90-nm and 65-nm technology nodes and beyond

Wen-Hao Cheng; Kishore K. Chakravorty; Jeff Farnsworth

Alternating phase shift mask (altPSM) as a strong resolution enhancement technique is increasingly required to meet the tighter lithographic requirements on gate critical dimension (CD) control, depth of focus and low k1 applications in full chip patterning of logic and memory devices. While the frequency doubling mechanism of altPSM benefits the quality of imaging, the inherent intensity asymmetry between phase shifters, or image imbalance, causes line shift. The effect of mask topography on electromagnetic wave propagation must be compensated in practice. Various designs of mask structure for correcting the intrinsic imaging asymmetry have been extensively studied. In this paper, we discuss several image imbalance correction methods for hidden phase edge altPSM architectures, including chrome undercut, shifter width sizing, sidewall chrome alternating aperture mask. We compared both hidden phase edge as well as exposed phase edge altPSM in terms of scalability, image correction effectiveness, and manufacturability for 90-nm, 65-nm technology nodes and beyond. Specifically, we define the altPSM architecture scalability in terms of three key components: 1. Mask manufacturability, design layout complexity, and effectiveness of image balance correction, 2. Mask patterning resolution, pattern fidelity, image placement, CD & overlay control at both chrome and glass levels, 3. Tightening quartz etch process control for given phase error tolerance. Applications of altPSM technology to line/space, hole, and phase shifted assisted features patterning with various altPSM architectures are also addressed.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Mask absorber material dependence of 2D OPC in ArF high NA lithography

Wen-Hao Cheng; Jeff Farnsworth

Rigorous optical proximity correction (OPC) for 3D reticle effects is critical to the success of 193nm wavelength immersion lithography implementation. The impact of 2D and 3D mask polarization and shadowing effects to 2D imaging in ultra high Numerical Aperture (NA) low-k1 imaging is assessed by simulation. An end-to-end (ETE) dense line 2D feature of various embedded (attenuated) phase shift mask (ePSM) with various material of film stack is studied. Line-end pullback is shown correlated with mask shadowing under TE-polarized OAI. Polarized OAI phase calibrated thinner mask absorber provides less shadowing, better 2D imaging window, and enables further scaling of mask feature patterning.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Alternating phase shift mask technology for 65nm logic applications

Kishore K. Chakravorty; Sven Henrichs; Wei Qiu; Joas L. Chavez; Yi-Ping Liu; Firoz Ghadiali; Karmen Yung; Nathan Wilcox; Mary Silva; Jian Ma; Ping Qu; Brian Irvine; Henry Yun; Wen-Hao Cheng; Jeff Farnsworth

Alternating Phase Shift Mask (APSM) Technology has been developed and successfully implemented for the poly gate of 65nm node Logic application at Intel. This paper discusses the optimization of the mask design rules and fabrication process in order to enable high volume manufacturability. Intels APSM technology is based on a dual sided trenched architecture. To meet the stringent OPC requirements associated with patterning of narrow gates required for the 65nm node, Chrome width between the Zero and Pi aperture need to be minimized. Additionally, APSM lithography has an inherently low MEEF that furthermore, drives a narrower Chrome line as compared to the Binary approach. The double sided trenched structure with narrow Chrome lines are mechanically vulnerable and prone to damage when exposed to conventional mask processing steps. Therefore, new processing approaches were developed to minimize the damage to the patterned mask features. For example, cleaning processes were optimized to minimize Chrome & quartz damage while retaining the cleaning effectiveness. In addition, mask design rules were developed which ensured manufacturability. The narrow Chrome regions between the zero and Pi apertures severely restrict the tolerance for the placement of the second level resists edges with respect to the first level. UV Laser Writer based resist patterning capability, capable of providing the required Overlay tolerance, was developed, An AIMS based methodology was used to optimize the undercut and minimize the aerial image CD difference between the Zero and Pi apertures.

Collaboration


Dive into the Wen-Hao Cheng's collaboration.

Researchain Logo
Decentralizing Knowledge