Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jeffrey Junhao Xu is active.

Publication


Featured researches published by Jeffrey Junhao Xu.


symposium on vlsi circuits | 2015

Holistic technology optimization and key enablers for 7nm mobile SoC

Seung-Chul Song; Jeffrey Junhao Xu; Niladri Narayan Mojumder; Kern Rim; Da Yang; Jerry Bao; John Jianhong Zhu; Joseph Wang; Mustafa Badaroglu; Vladimir Machkaoutsan; P. Narayanasetti; B. Bucki; J. Fischer; Geoffrey Yeap

We systematically investigated the impact of R and C scaling to 7nm node (N7) by accounting for FEOL and BEOL holistically. Speed-power performance of plainly scaled N7 turns out to be degraded compared to previous node. BEOL wire resistance (R<sub>wire</sub>) multiplied by logic gate input pin cap (C<sub>pin</sub>), R<sub>wire</sub>×C<sub>pin</sub>, is identified as a major limiter of performance and power at N7. Reducing C<sub>pin</sub> is crucial to mitigate abruptly rising BEOL R<sub>wire</sub> effect. Depopulation of fin is one of most effective methods to reduce C<sub>pin</sub>, and scale the logic gate area. Air Spacer (AS) on transistor sidewall is proposed to further reduce C<sub>pin</sub>, whose benefit is enhanced by reduction of other C<sub>pin</sub> components. Careful choice of routing metal stack ameliorates adverse effect of R<sub>wire</sub>. Wrap-Around-Contact (WAC) over Source and Drain of scaled fin pitch (P<sub>fin</sub>) is needed to reduce transistor resistance (R<sub>tr</sub>). Fin depopulation with other cost effective process innovations significantly improve Power-Performance-Area-Cost (PPAC) of N7, enabling continued scaling of mobile System on a Chip.


symposium on vlsi circuits | 2016

Unified Technology Optimization Platform using Integrated Analysis (UTOPIA) for holistic technology, design and system co-optimization at <= 7nm nodes

Seung-Chul Song; Jeffrey Junhao Xu; Da Yang; Kern Rim; Peijie Feng; Jerry Bao; John Jianhong Zhu; Joseph Wang; Giri Nallapati; Mustafa Badaroglu; P. Narayanasetti; B. Bucki; J. Fischer; Geoffrey Yeap

We propose complete technology-design-system co-optimization method in which power, performance, thermal, area and cost metrics are all simultaneously optimized from transistor to mobile SOC system level. This novel method, Unified Technology Optimization Platform using Integrated Analysis (UTOPIA), incorporates thermally limited performance, wafer process complexity and die area scaling model in addition to authors previous transistor-interconnect optimization method. Thermal model in UTOPIA evaluates/optimizes device and technology parameters not only for peak frequency but also for sustained performance after thermal throttling. Optimum N7 technology is selected using proposed UTOPIA method, showing significant overall gain over N10 technology.


symposium on vlsi circuits | 2015

Transistor-interconnect mobile system-on-chip co-design method for holistic battery energy minimization

Niladri Narayan Mojumder; Seung-Chul Song; Kern Rim; Jeffrey Junhao Xu; Joseph Wang; John Jianhong Zhu; M. Vratonjic; Ken Lin; Martin Saint-Laurent; Paul Bassett; Geoffrey Yeap

We present, for the first time, a holistic data-path driven transistor-interconnect co-optimization method, which systematically isolates the logic-gate and interconnect-wire dominated data-paths in block-level delay-bins (i.e., sub-binning of delay based bins) to significantly improve accuracy of static and dynamic power estimation. It captures the critical interdependence of transistor architecture (FEOL) including local interconnect, and BEOL metal stack optimization to achieve holistic 10nm (N10) technology optimization at target speeds. Using the proposed method, we drive >2.5x Performance/Watt (PpW) improvement for N10 FinFET SOC design over 14nm (N14). Even with ∼3x higher wire resistance of min metal width, the PpW @target-speed for N10 improves >2.5x over N14 with proper design of metal/via stack, transistor Vt and fin-profile as well as standard-cell architecture. Reducing active fin-count and routing distance between standard-cells is a critical design knob for N10 mobile SOC enablement. The proposed methodology enables smartphone-usage (days-of-use) based technology optimization, driving longer battery-life in mobile SOCs, keeping process cost and complexity at minimum.


Archive | 2014

Silicon germanium FinFET formation by Ge condensation

Jeffrey Junhao Xu; Vladimir Machkaoutsan; Kern Rim; Stanley Seungchul Song; Choh fei Yeap


Archive | 2016

METHOD AND APPARATUS OF MULTI THRESHOLD VOLTAGE CMOS

Jeffrey Junhao Xu; Choh fei Yeap


Archive | 2014

Selective conductive barrier layer formation

Jeffrey Junhao Xu; John Jianhong Zhu; Choh fei Yeap


Archive | 2016

SUB-FIN DEVICE ISOLATION

Stanley Seungchul Song; Jeffrey Junhao Xu; Vladimir Machkaoutsan; Mustafa Badaroglu; Choh fei Yeap


Archive | 2016

METHOD AND APPARATIS FOR SOURCE-DRAIN JUNCTION FORMATION FINFET WITH QUANTUM BARRIER AND GROUND PLANE DOPING

Vladimir Machkaoutsan; Jeffrey Junhao Xu; Stanley Seungchul Song; Mustafa Badaroglu; Choh fei Yeap


Archive | 2015

Methods of forming a metal-insulator-semiconductor (mis) structure and a dual contact device

Jeffrey Junhao Xu; Kern Rim; John Jianhong Zhu; Stanley Seungchul Song; Choh fei Yeap


Archive | 2017

MIDDLE-OF-LINE (MOL) MANUFACTURED INTEGRATED CIRCUITS (ICs) EMPLOYING LOCAL INTERCONNECTS OF METAL LINES USING AN ELONGATED VIA, AND RELATED METHODS

John Jianhong Zhu; Kern Rim; Stanley Seungchul Song; Jeffrey Junhao Xu; Da Yang

Collaboration


Dive into the Jeffrey Junhao Xu's collaboration.

Researchain Logo
Decentralizing Knowledge