Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Da Yang is active.

Publication


Featured researches published by Da Yang.


symposium on vlsi circuits | 2015

Holistic technology optimization and key enablers for 7nm mobile SoC

Seung-Chul Song; Jeffrey Junhao Xu; Niladri Narayan Mojumder; Kern Rim; Da Yang; Jerry Bao; John Jianhong Zhu; Joseph Wang; Mustafa Badaroglu; Vladimir Machkaoutsan; P. Narayanasetti; B. Bucki; J. Fischer; Geoffrey Yeap

We systematically investigated the impact of R and C scaling to 7nm node (N7) by accounting for FEOL and BEOL holistically. Speed-power performance of plainly scaled N7 turns out to be degraded compared to previous node. BEOL wire resistance (R<sub>wire</sub>) multiplied by logic gate input pin cap (C<sub>pin</sub>), R<sub>wire</sub>×C<sub>pin</sub>, is identified as a major limiter of performance and power at N7. Reducing C<sub>pin</sub> is crucial to mitigate abruptly rising BEOL R<sub>wire</sub> effect. Depopulation of fin is one of most effective methods to reduce C<sub>pin</sub>, and scale the logic gate area. Air Spacer (AS) on transistor sidewall is proposed to further reduce C<sub>pin</sub>, whose benefit is enhanced by reduction of other C<sub>pin</sub> components. Careful choice of routing metal stack ameliorates adverse effect of R<sub>wire</sub>. Wrap-Around-Contact (WAC) over Source and Drain of scaled fin pitch (P<sub>fin</sub>) is needed to reduce transistor resistance (R<sub>tr</sub>). Fin depopulation with other cost effective process innovations significantly improve Power-Performance-Area-Cost (PPAC) of N7, enabling continued scaling of mobile System on a Chip.


symposium on vlsi technology | 2014

Cost and power/performance optimized 20nm SoC technology for advanced mobile devices

G. Nallapati; John Jianhong Zhu; Joseph Wang; J.Y. Sheu; K.L. Cheng; Chock H. Gan; Da Yang; Ming Cai; J. Cheng; Lixin Ge; Ying Chen; R. Bucki; B. Bowers; Foua Vang; Xiangdong Chen; O. Kwon; Sei Seung Yoon; C.C. Wu; Pr Chidambaram; Min Cao; J. Fischer; Esin Terzioglu; Y.J. Mii; Geoffrey Yeap

A cost competitive 20nm technology node is described that enabled industry-first 20nm cellular modem chip with 2× peak data rates vs 28nm, and 2× carrier aggregation. Process and design enhancements for layout context optimization, and continuous process improvements resulted in 18% boost in circuit performance while simultaneously achieving >30% power reduction. 3 mask local interconnect and 64nm double patterning lower level metals - with yield-friendly single color pitch of 95nm and M1 special constructs with 90nm (=gate pitch) single color pitch for cell abutment - were used for achieving ~2× gate density. Single patterning 80nm pitch metal for routing levels was optimized for both density and performance. Active/passive device and double pattern metal mask count was optimized to reach process should-cost goals. Resulting technology provides cost reduction vs 28 HKMG per close to historical trend, and also cost-competitiveness vs 28 PolySiON. Leveraging of yield learning of this common back-end metallization results in up to 6 month pull-in of 16nm Finfet node yield ramp.


symposium on vlsi circuits | 2016

Unified Technology Optimization Platform using Integrated Analysis (UTOPIA) for holistic technology, design and system co-optimization at <= 7nm nodes

Seung-Chul Song; Jeffrey Junhao Xu; Da Yang; Kern Rim; Peijie Feng; Jerry Bao; John Jianhong Zhu; Joseph Wang; Giri Nallapati; Mustafa Badaroglu; P. Narayanasetti; B. Bucki; J. Fischer; Geoffrey Yeap

We propose complete technology-design-system co-optimization method in which power, performance, thermal, area and cost metrics are all simultaneously optimized from transistor to mobile SOC system level. This novel method, Unified Technology Optimization Platform using Integrated Analysis (UTOPIA), incorporates thermally limited performance, wafer process complexity and die area scaling model in addition to authors previous transistor-interconnect optimization method. Thermal model in UTOPIA evaluates/optimizes device and technology parameters not only for peak frequency but also for sustained performance after thermal throttling. Optimum N7 technology is selected using proposed UTOPIA method, showing significant overall gain over N10 technology.


Proceedings of SPIE | 2014

Technology-design-manufacturing co-optimization for advanced mobile SoCs

Da Yang; Chock H. Gan; Pr Chidambaram; Giri Nallapadi; John Jianhong Zhu; Seung-Chul Song; Jeff Xu; Geoffrey Yeap

How to maintain the Moore’s Law scaling beyond the 193 immersion resolution limit is the key question semiconductor industry needs to answer in the near future. Process complexity will undoubtfully increase for 14nm node and beyond, which brings both challenges and opportunities for technology development. A vertically integrated design-technologymanufacturing co-optimization flow is desired to better address the complicated issues new process changes bring. In recent years smart mobile wireless devices have been the fastest growing consumer electronics market. Advanced mobile devices such as smartphones are complex systems with the overriding objective of providing the best userexperience value by harnessing all the technology innovations. Most critical system drivers are better system performance/power efficiency, cost effectiveness, and smaller form factors, which, in turns, drive the need of system design and solution with More-than-Moore innovations. Mobile system-on-chips (SoCs) has become the leading driver for semiconductor technology definition and manufacturing. Here we highlight how the co-optimization strategy influenced architecture, device/circuit, process technology and package, in the face of growing process cost/complexity and variability as well as design rule restrictions.


european solid state device research conference | 2017

PPAC scaling enablement for 5nm mobile SoC technology

Mustafa Badaroglu; Jeff Xu; John Jianhong Zhu; Da Yang; Jerry Bao; Seung-Chul Song; Peijie Feng; Romain Ritzenthaler; Hans Mertens; Geert Eneman; Naoto Horiguchi; Jeffrey A. Smith; Suman Datta; David Kohen; Po-Wen Chan; Keagan Chen; P. R. Chidi Chidambaram

We present a 5nm logic technology scaling step-up holistic approach for 5-track standard cell design employing electrically gate-all-around nanowire architecture (EGAA NW) with much reduced parasitic capacitance and increased effective width for better short channel control and stronger drive. We suggest SiGe P-channel by Ge Condensation for intrinsic mobility improvement and substrate strain, conformal wraparound contact (CWAC) to reduce contact resistance with minimum parasitic capacitance penalty, metal gate (MG) stressor to improve N-channel mobility, EUV single exposure metal patterning with improved tip-to-tip patterning technique for maximum mask count reduction, and Al metallization to reduce metal & via resistances, however still requiring a validation of the proposed electromigration (EM) risk mitigation. We show that finFET can still be extended to 5nm technology to meet Power-Performance-Area-Cost (PPAC) targets. EGAA NW could enable further 50mV less supply voltage to significantly improve 5nm PPAC scaling.


custom integrated circuits conference | 2018

Analog/mixed-signal design challenges in 7-nm CMOS and beyond

Alvin Leng Sun Loke; Da Yang; Tin Tin Wee; Jonathan L. Holland; Patrick Isakanian; Kern Rim; Sam Yang; Jacob Stephen Schneider; Giri Nallapati; Sreeker Dundigal; Hasnain Lakdawala; Behnam Amelifard; ChulKyu Lee; Betty McGovern; Paul S. Holdaway; Xiaohua Kong; Burton M. Leary

The economics of CMOS scaling remain lucrative with 7-nm mobile SoCs expected to be commercialized in 2018. Driven by careful design/technology co-optimization, modest reduction in fin, gate, and interconnect pitch as well as process innovations continue to offer compelling node-to-node power, performance, area, and cost benefits to advance logic and SRAM to the next foundry node. However, analog/mixed-signal circuits do not fully realize these improvements. They become more cumbersome to design, having worse parasitic resistance and capacitance, stronger layout-dependent effects, and layout growth in some situations. Furthermore, early adopters of these cutting-edge finFET nodes must cope with the complications of design concurrent with technology development for shorter product time-to-market. We provide an overview of the key process technology elements enabling 7 nm and beyond to address analog/mixed-signal design challenges. From this insight, we offer layout guidelines aimed to reduce design vulnerability to technology and model immaturity.


Archive | 2018

Analog/Mixed-Signal Design in FinFET Technologies

Alvin Leng Sun Loke; Esin Terzioglu; Albert A. Kumar; Tin Tin Wee; Kern Rim; Da Yang; Bo Yu; Lixin Ge; Li Sun; Jonathan L. Holland; ChulKyu Lee; Deqiang Song; Sam Yang; John Jianhong Zhu; Jihong Choi; Hasnain Lakdawala; Zhiqin Chen; Wilson J. Chen; Sreeker Dundigal; Stephen Robert Knol; Chiew-Guan Tan; Stanley Seungchul Song; Hai Dang; Patrick G. Drennan; Jun Yuan; Pr Chidambaram; Reza Jalilizeinali; Steven James Dillen; Xiaohua Kong; Burton M. Leary

Consumer demand for low-power mobile ICs has propelled CMOS scaling to arrive at the fully depleted finFET with foundry offerings already available at 16/14, 10, and 7 nm. The compact three-dimensional structure of the finFET offers superior short-channel control that achieves digital power reduction while increasing device performance for a given area. As system-on-chip technology remains driven by logic and SRAM scaling needs, designers of analog/mixed-signal subsystems must continue to adapt to new technology constraints. We attempt to summarize the challenges and technology considerations encountered when we port analog/mixed-signal designs to a finFET node. At 16/14 nm and beyond, designers also face many implications from scaling innovations leading to the finFET.


symposium on vlsi technology | 2017

10nm high performance mobile SoC design and technology co-developed for performance, power, and area scaling

Sam Yang; Yanxiang Liu; Ming Cai; Jerry Bao; Peijie Feng; Xiangdong Chen; Lixin Ge; Jun Yuan; Jihong Choi; Ping Liu; Youseok Suh; Hao Wang; Jie Deng; Yandong Gao; Jackie Yang; Xiao-Yong Wang; Da Yang; John Jianhong Zhu; Paul Ivan Penzes; Seung-Chul Song; Chul-Yong Park; Sung-Won Kim; Jedon Kim; S. K. Kang; Esin Terzioglu; Ken Rim; P. R. Chidi Chidambaram

The industrys first 10nm low power high performance mobile SoC has been successfully ramped in production. Thanks to a thorough design-technology co-development, 10nm SoC is 16% faster, 37% smaller, and 30% lower power than its 14nm predecessor. The latest SoC features a gigabit class modem and is set to advance AR/VR, AJ, machine learning, and computing. 10nm FinFet technology scaling challenges such as sharply increased wiring resistance and variation and strong layout stress effects are discussed to illustrate design and technology co-development from technology definition to product ramp stage is imperative to realize scaling entitlements.


Archive | 2014

SEMICONDUCTOR DEVICE HAVING HIGH MOBILITY CHANNEL

Bin Yang; Pr Chidambaram; John Jianhong Zhu; Jihong Choi; Da Yang; Ravi Mahendra Todi; Giridhar Nallapati; Chock H. Gan; Ming Cai; Samit Sengupta


Archive | 2017

MIDDLE-OF-LINE (MOL) MANUFACTURED INTEGRATED CIRCUITS (ICs) EMPLOYING LOCAL INTERCONNECTS OF METAL LINES USING AN ELONGATED VIA, AND RELATED METHODS

John Jianhong Zhu; Kern Rim; Stanley Seungchul Song; Jeffrey Junhao Xu; Da Yang

Collaboration


Dive into the Da Yang's collaboration.

Researchain Logo
Decentralizing Knowledge