Jenah Harris-Jones
SEMATECH
Network
Latest external collaboration on country level. Dive into details by clicking on the dots.
Publication
Featured researches published by Jenah Harris-Jones.
SPIE Photomask Technology | 2011
Hyuk Joon Kwon; Jenah Harris-Jones; Ranganath Teki; Aaron Cordes; Toshio Nakajima; Iacopo Mochi; Kenneth A. Goldberg; Yuya Yamaguchi; Hiroo Kinoshita
We describe the characterization of native phase defects in the manufacturing of extreme ultraviolet (EUV) mask blanks using the state-of-the-art mask metrology equipment in SEMATECHs Mask Blank Development Center (MBDC). We used commercially available quartz substrates and deposited Mo/Si multilayers on the substrates to characterize phase defects. We also prepared programmed defects of various dimensions using e-beam patterning technology on which multilayers were deposited. Transmission electron microscopy (TEM) was used to study multilayer profile changes, while SEMATECHs actinic inspection tool (AIT) was used to image defects and predict their printability. Defect images at different focal depths of the AIT are correlated to TEM cross sections and atomic force microscopy (AFM) dimensions. The printability of native and programmed defects was also investigated.
Proceedings of SPIE | 2012
Hyuk Joo Kwon; Jenah Harris-Jones; Aaron Cordes; Masaki Satake; Ying Li; Iacopo Mochi; Kenneth A. Goldberg
Extreme ultraviolet (EUV) patterning appears feasible using currently available EUV exposure tools, but some issues must still be resolved for EUV patterning to be used in production. Defects in EUV mask blanks are one such major issue and inspection tools are needed to detect phase defects on EUV mask blanks that could possibly print on the wafer. Currently available inspection tools can capture defects on the mask, but they also need to be able to classify possible printable defects. Defect classification for repair and mitigation of printable defects is very difficult using deep ultraviolet (DUV) inspection tools; however, if the actinic inspection tool (AIT) could gather defect information from more multilayer (ML) stacks, it may be able to separate printable defects from unprintable defects. If unprintable defects could be eliminated, the defect information could be used for mask pattern shifts to reduce printable defects. Fewer defects would need to be repaired if there were a better chance of capturing printable defects using an actinic inspection tool. Being able to detect printable defects on EUV blanks is therefore critical in mask making. In this paper, we describe the characterization of programmed ML phase defects in the manufacturing of EUV mask blanks using the state-of-the-art mask metrology equipment in SEMATECHs Mask Blank Development Center (MBDC). Programmed defects of various dimensions were prepared using e-beam patterning technology and Mo/Si MLs were deposited with SEMATECHs best known method (BKM) and pit smoothing conditions on programmed defects to characterize ML phase defects. Atomic force microscopy (AFM) and transmission electron microscopy (TEM) were used to study ML profile changes, while SEMATECHs AIT was used to image ML phase defects and predict their printability. Multilayer defect reconstruction (MDR) was done using AFM images, which were then compared to TEM images. Defect printability simulation (DPS) was used for comparison to AIT through-focus images. 22 nm, 27 nm, and 32 nm line and space (L/S) absorber patterns were positioned on top of programmed ML phase defects and simulated defect printability. The ML phase defects are located at the edge of L/S patterns and at the center of space patterns and Bossung plot was used to separate printable defects from unprintable defects.
Proceedings of SPIE | 2011
Vibhu Jindal; Patrick Kearney; Jenah Harris-Jones; Alan V. Hayes; Jacques Kools
Extreme ultraviolet lithography (EUVL) is the leading next generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be the most critical technology gap for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction of EUV mask blanks is being pursued using the Veeco Nexus deposition tool. Its defect performance is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH has identified better understanding of the physics of the deposition process as one of the keys to improving the defect performance of Nexus tools. SEMATECH is therefore undertaking an effort to model the physics of the tool backed with an experimental program to characterize the process. The goal is to be able to predict defect performance and defect improvement to direct new tool design. In this paper, we present the results of simulating the deposition rate and uniformity of deposited multilayers and growth of the multilayer on a given defect profile.
Proceedings of SPIE | 2012
Ranganath Teki; A. John Kadaksham; Matthew House; Jenah Harris-Jones; Andy Ma; S. V. Babu; A. Hariprasad; Paul Dumas; Richard Jenkins; J. Provine; Annika Richmann; J. Stowers; S. Meyers; U. Dietze; T. Kusumoto; Takashi Yatsui; Motoichi Ohtsu; Frank Goodwin
The majority of extreme ultraviolet (EUV) lithography mask blank defects originate from chemical mechanical polishing (CMP) of the substrate. The fact that CMP has not yet been able to yield EUV substrates with low defect counts highlights the challenges of polishing doped fused silica surfaces. Here we investigate alternative techniques based on processing either the substrate or coatings of amorphous silicon thin films and inorganic metal oxides. In particular, we evaluate a novel polymer-based non-abrasive a-Si CMP process, a photo-induced dry etching of substrate protrusions, a smoothing coat of spin-on or capillary coated Inpria metal oxide solution, CO2 laser polishing of the substrate surface, and annealing an a-Si thin film surface in reducing atmospheres. Although CMP still remains the best process with respect to overall process integration, these techniques have the potential to support CMP in solving the substrate defectivity issue and help pave the way to commercializing EUV mask blanks.
Proceedings of SPIE | 2013
Vibhu Jindal; Arun John; Jenah Harris-Jones; Patrick Kearney; A. Antohe; E. Stinzianni; Frank Goodwin; Takahiro Onoue
EUVL requires a high yield of low-defect density reflective mask blanks, one of the top two critical technology gaps for the commercialization of this technology. One of the major sources of mask blank defects is the top of the substrate due to substrate quality, cleaning residue, and handling- or storage-induced defects. SEMATECH’s current inspection tool, the Lasertec 7360, can detect defects down to 37 nm on quartz substrates in dense scan mode. Defects below 40 nm on these substrate are difficult to detect, which challenges the quantification and characterization, and hence the determination of defect sources. SEMATECH developed a thin film decoration technique to quantify sub-40 nm defects and analyze composition to pinpoint defect sources. The technique involves oblique angle deposition in an ion beam deposition system, which decorates the particle. The decoration of particles is optimized by depositing enough thin film so that defects can be detected by the Lasertec7360 and yet keeping the film thin enough to employ several metrology techniques to efficiently analyze defect composition. The challenges involved with the metrology of such embedded defects and the impact of oblique angle deposition will be discussed. A theoretical model of defect decoration that can successfully simulate the thin film deposition on top of the defects will be provided. The effect of angle, deposition rate, and deposition time to quantify the decoration effect will also be presented.
Proceedings of SPIE | 2013
Vibhu Jindal; Patrick Kearney; A. Antohe; Milton Godwin; Arun John; Ranganath Teki; Jenah Harris-Jones; E. Stinzianni; Frank Goodwin
EUVL requires high-yield, low defect density reflective mask blanks, a requirement which is considered one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction and yield improvement for EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that are currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH improved the defect performance of the champion blank with 12 defects above 45 nm which is a 36% improvement from the data reported last year for the champion blank (19 defects above 45 nm). The yield analysis on high quality mask blanks from ion beam deposition system is also presented. Substrate quality is currently the biggest source of mask blank defects, while high yield also requires complete elimination of large size defects from deposition. A roadmap to meet the required defectivity specification for EUV mask blanks is presented.
Proceedings of SPIE | 2012
Jenah Harris-Jones; Vibhu Jindal; Patrick Kearney; Ranganath Teki; Arun John; Hyuk Joo Kwon
Mitigation of pit-type defects proves to be a major hurdle facing the production of a defect-free mask blank for EUV lithography. Recent efforts have been directed toward substrate smoothing methods during deposition. The angle of incidence of the substrate is known to have a significant effect on the growth of defects during deposition. It has been shown that shadowing effects for bump-type defects are reduced when depositing Mo/Si films at near-normal incidence, resulting in a Gaussian growth profile in which the height and volume of the defect are minimized. Conversely, operating at off-normal incidence reduces shadowing of pit-type defects. When altering the angle of incidence of the substrate, the target angle must be changed to maintain uniformity. The resulting mask blank must also meet surface roughness specifications post-deposition while maintaining a low defect density. In this study, various substrate angle and target angle combinations were investigated within the Veeco Nexus Low Defect Density tool at SEMATECH to find optimum in situ pit smoothing conditions using ion beam deposition on both quartz and low thermal expansion material (LTEM) substrates. The possible substrate-target angle combinations are limited by the design of the current deposition tool; therefore, a phase space has been mapped out to determine uniform and non-uniform regions. Other deposition parameters including operating pressure and working gas composition were also explored. After deposition, EUV reflectrometry measurements were taken to evaluate uniformity in the wavelength; surface roughness, change in pit depth, change in full width at half maximum, and pit smoothing power were determined using atomic force microscopy (AFM); transmission electron microscopy (TEM) was used to study the effect of film disruption through the multilayer; and the printability of smoothed pits will be measure actinically using SEMATECHs AIT tool. Preliminary results show that positive values for substrate angles in the uniform region tend to give a high surface roughness after multilayer deposition; however, the combinations with negative substrate angles show promising results. Substrate angles with lower values resulted in better smoothing than the higher substrate angles. AFM results confirmed that pit smoothing power at lower substrate angles is greater than under the standard deposition conditions employed by the tool. Lower chamber pressure was proven to increase the smoothing power of pit-type defects during deposition. Preliminary TEM cross-section data confirmed the smoothing results obtained by AFM analysis. The use of Ne and Xe as working gases is also under review. Extensive AFM analysis, TEM cross-sections, and printability data will be presented.
Proceedings of SPIE | 2012
Vibhu Jindal; Patrick Kearney; Jaewoong Sohn; Jenah Harris-Jones; Arun John; Milton Godwin; A. Antohe; Ranganath Teki; Andy Ma; Frank Goodwin; Al Weaver; P. Teora
Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECHs current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.
Photomask Technology 2012 | 2012
Arun John Kadaksham; Ranganath Teki; Jenah Harris-Jones; C. C. Lin
Achieving mask blanks with defectivity less than 0.03 defects/cm2 at 30 nm SiO2 equivalent and above is one of the key goals for accomplishing high volume manufacturing capability for EUV lithography. Defect free blanks for lithography start from defect free substrates. Currently, defects on both LTEM and quartz substrates are dominated by pits, scratches, particles and residues left by the polishing processes used to achieve the roughness and flatness specifications of the substrates. Normally, such defects are extremely difficult to be removed and particles often leave pits as they are removed by cleaning. Standard cleaning processes relying on megasonic cavitations for particle removal are insufficient for removing such defects from substrates. It is well known that hydrofluoric acid is an etchant of fused silica (quartz) and buffered HF in different concentrations has been used in the past for cleaning quartz and silicon substrates. Ideally, an etch clean process should not increase the roughness of the substrate while cleaning. However, in the process of etching and removing the defects, the roughness of the substrates is invariably increased which is undesirable. The rate of roughness change is directly dependent on the concentration and time of exposure, which also affects the etch rate and defect removal rate. In this paper we report that a post polishing etch clean process has been developed for ULE and quartz substrates which meet the defectivity, roughness and flatness specifications for EUV blanks. We also examine the effects of substrate roughness on blank roughness, and inspection capability of substrates and blanks at different roughness levels using a defect inspection tool capable of inspecting defects down to 35 nm SiO2 equivalent size. Defect smoothing using etch clean processes have been proposed and demonstrated in the past using an anisotropic etch mechanism. This study focuses on complete removal of defects from EUV substrates, and therefore smoothing is not an issue. Multilayer blank deposition process is known to decorate defects on substrates. We use this as a technique to identify any defects that might be left on the substrate surface after etch cleaning. In most cases, we find that the substrates have low defectivity and do not affect the EUV requirements. We demonstrate that the etch clean process can be used to increase the yield of high quality ULE substrates to meet the high volume production requirements of euv masks.
28th European Mask and Lithography Conference (EMLC 2012) | 2012
Hyuk Joo Kwon; Ranganath Teki; Jenah Harris-Jones; Aaron Cordes
Extreme ultraviolet (EUV) patterning appears feasible using currently available EUV exposure tools, but some issues must still be resolved for EUV patterning to be used in production. Defects in EUV mask blanks are one such major issue, as evidenced by the research focused on defect printability. Inspection tools are needed to detect phase defects on EUV mask blanks that could possibly print on the wafer. Currently available inspection tools can capture defects on the mask, but they also need to be able to classify possible printable defects. Defect classification for repair and mitigation of printable defects is very difficult using DUV inspection tools; however, if the actinic inspection tool (AIT) could gather defect information from more multilayer stacks, it may be able to separate printable defects from unprintable defects. If unprintable defects could be eliminated, the defect information could be used for mask pattern shifts to reduce printable defects. Fewer defects would need to be repaired if there were a better chance of capturing printable defects using an actinic inspection tool. Being able to detect printable defects on EUV blanks is therefore critical in mask making. In this paper, we describe the characterization of native phase defects in the manufacturing of EUV mask blanks using the state-of-the-art mask metrology equipment in SEMATECHs Mask Blank Development Center (MBDC). Commercially available quartz substrates were used and Mo/Si multilayers were deposited on the substrates to characterize phase defects. Programmed defects of various dimensions were also prepared using e-beam patterning technology on which multilayers were deposited. Transmission electron microscopy (TEM) was used to study multilayer profile changes, while SEMATECHs AIT was used to image defects and predict their printability. A defect library for native defects and printability of programmed phase defects is introduced. Finally technical challenges for EUV defect printability will be discussed.