Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ranganath Teki is active.

Publication


Featured researches published by Ranganath Teki.


SPIE Photomask Technology | 2011

Printability of native blank defects and programmed defects and their stack structures

Hyuk Joon Kwon; Jenah Harris-Jones; Ranganath Teki; Aaron Cordes; Toshio Nakajima; Iacopo Mochi; Kenneth A. Goldberg; Yuya Yamaguchi; Hiroo Kinoshita

We describe the characterization of native phase defects in the manufacturing of extreme ultraviolet (EUV) mask blanks using the state-of-the-art mask metrology equipment in SEMATECHs Mask Blank Development Center (MBDC). We used commercially available quartz substrates and deposited Mo/Si multilayers on the substrates to characterize phase defects. We also prepared programmed defects of various dimensions using e-beam patterning technology on which multilayers were deposited. Transmission electron microscopy (TEM) was used to study multilayer profile changes, while SEMATECHs actinic inspection tool (AIT) was used to image defects and predict their printability. Defect images at different focal depths of the AIT are correlated to TEM cross sections and atomic force microscopy (AFM) dimensions. The printability of native and programmed defects was also investigated.


Proceedings of SPIE | 2012

Alternative smoothing techniques to mitigate EUV substrate defectivity

Ranganath Teki; A. John Kadaksham; Matthew House; Jenah Harris-Jones; Andy Ma; S. V. Babu; A. Hariprasad; Paul Dumas; Richard Jenkins; J. Provine; Annika Richmann; J. Stowers; S. Meyers; U. Dietze; T. Kusumoto; Takashi Yatsui; Motoichi Ohtsu; Frank Goodwin

The majority of extreme ultraviolet (EUV) lithography mask blank defects originate from chemical mechanical polishing (CMP) of the substrate. The fact that CMP has not yet been able to yield EUV substrates with low defect counts highlights the challenges of polishing doped fused silica surfaces. Here we investigate alternative techniques based on processing either the substrate or coatings of amorphous silicon thin films and inorganic metal oxides. In particular, we evaluate a novel polymer-based non-abrasive a-Si CMP process, a photo-induced dry etching of substrate protrusions, a smoothing coat of spin-on or capillary coated Inpria metal oxide solution, CO2 laser polishing of the substrate surface, and annealing an a-Si thin film surface in reducing atmospheres. Although CMP still remains the best process with respect to overall process integration, these techniques have the potential to support CMP in solving the substrate defectivity issue and help pave the way to commercializing EUV mask blanks.


Photomask Technology 2013 | 2013

Ultra-low roughness magneto-rheological finishing for EUV mask substrates

Paul Dumas; Richard Jenkins; Chuck McFee; Arun John Kadaksham; Dave Balachandran; Ranganath Teki

EUV mask substrates, made of titania-doped fused silica, ideally require sub-Angstrom surface roughness, sub-30 nm flatness, and no bumps/pits larger than 1 nm in height/depth. To achieve the above specifications, substrates must undergo iterative global and local polishing processes. Magnetorheological finishing (MRF) is a local polishing technique which can accurately and deterministically correct substrate figure, but typically results in a higher surface roughness than the current requirements for EUV substrates. We describe a new super-fine MRF® polishing fluid whichis able to meet both flatness and roughness specifications for EUV mask blanks. This eases the burden on the subsequent global polishing process by decreasing the polishing time, and hence the defectivity and extent of figure distortion.


Proceedings of SPIE | 2013

Challenges in EUV mask blank deposition for high volume manufacturing

Vibhu Jindal; Patrick Kearney; A. Antohe; Milton Godwin; Arun John; Ranganath Teki; Jenah Harris-Jones; E. Stinzianni; Frank Goodwin

EUVL requires high-yield, low defect density reflective mask blanks, a requirement which is considered one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction and yield improvement for EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that are currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH improved the defect performance of the champion blank with 12 defects above 45 nm which is a 36% improvement from the data reported last year for the champion blank (19 defects above 45 nm). The yield analysis on high quality mask blanks from ion beam deposition system is also presented. Substrate quality is currently the biggest source of mask blank defects, while high yield also requires complete elimination of large size defects from deposition. A roadmap to meet the required defectivity specification for EUV mask blanks is presented.


Proceedings of SPIE | 2013

Dressed-photon nanopolishing for extreme ultraviolet mask substrate defect mitigation

Ranganath Teki; Arun John Kadaksham; Frank Goodwin; Takashi Yatsui; Motoichi Ohtsu

Although the quality of extreme ultraviolet (EUV) mask substrates has improved by continuous refinement of the polishing processes, the yield of defect-free blanks is still very low. Dressed-photon nanopolishing (DPNP) is a novel vapor phase, photo-chemical, non-contact etching process that has been shown to locally smooth bumps and pits to below 1 nm in height/depth while not affecting the surface roughness. DPNP is based on the concept of a dressed photon, which is a quasi-particle in the optical near field of a surface that can couple with lattice phonons in nanometric regions (< 100 nm). When illuminated with light of a suitable wavelength, such coupled states are generated on a nanometrically rough material surface and impart sufficient energy to an etchant gas to enable its dissociation and etching in the rough regions only. DPNP can be the last polishing step on EUV substrates to eliminate any remnant pits and/or embedded particles on the surface to yield potentially defect-free substrates.


Photomask Technology 2013 | 2013

EUV scatterometry-based measurement method for the determination of phase roughness

Rikon Chao; Eric M. Gullikson; Michael Goldstein; Frank Goodwin; Ranganath Teki; Andrew R. Neureuther; Patrick P. Naulleau

AFM-based roughness measurement reveals the topography of EUV masks, but is only sensitive to the top surface [1]. Scatterometry provides a more accurate approach to characterize the effective phase roughness of the multilayer, and it becomes important to determine the valid metrology for roughness characterization. In this work, the power spectral density calculated from scatterometry is compared to that from AFM for measurements before and after coating of substrates with a range of roughness levels. Results show noticeable discrepancies between AFM- and scatterometrymeasured roughness, and indicates that when the physical surface roughness increases with deposition the EUV penetration into the multilayer tends to mitigate this effect. In this paper, we describe an EUV scatterometry-based measurement method for the determination of phase roughness with the goal of minimizing the amount of physical scattering data to be collected and rendering the method compatible with potential future standalone EUV reflectometer tools.


Proceedings of SPIE | 2013

Low thermal expansion material (LTEM) cleaning and optimization for extreme ultraviolet (EUV) blank deposition

Arun John Kadaksham; Ranganath Teki; Milton Godwin; Matt House; Frank Goodwin

With the insertion of extreme ultraviolet lithography (EUVL) for high volume manufacturing (HVM) expected in the next few years, it is necessary to examine the performance of low thermal expansion materials (LTEMs) and assess industry readiness of EUV substrates. Owing to the high cost of LTEM, most of the development work so far has been done on fused silica substrates. Especially in developing cleaning technology prior to multilayer deposition, fused silica substrates have been used extensively, and defect trends and champion blank data have been reported using multilayer deposition data on fused silica substrates. In this paper, the response of LTEMs to cleaning processes prior to multilayer deposition is discussed. Cleaning processes discussed in this paper are developed using fused silica substrates and applied on LTEM substrates. The defectivity and properties of LTEM to fused silica are compared. Using the dense scan feature of the substrate inspection tool capable of detecting defects down to 35 nm SiO2 equivalent size and appropriate defect decoration techniques to decorate small defects on substrates to make them detectable, cleaning technologies that have the potential to meet high demands on LTEM for EUVL are developed and optimized.


Proceedings of SPIE | 2012

Liftoff lithography of metals for extreme ultraviolet lithography mask absorber layer patterning

Adam Lyons; Ranganath Teki; John G. Hartley

The authors present a process for patterning Extreme Ultraviolet Lithography (EUVL) mask absorber metal using electron beam evaporation and bi-layer liftoff lithography. The Line Edge Roughness (LER) and Critical Dimension Uniformity (CDU) of patterned chrome absorber are determined for various chrome thicknesses on silicon substrates, and the viability of the method for use with nickel absorber and on EUVL masks is demonstrated. Scanning Electron Microscope (SEM) data is used with SuMMIT software to determine the absorber LER and CDU. The Lawrence Berkeley National Labs Actinic Inspection Tool (AIT) is used to verify the printability of the pattern down to 24nm half pitch. The effect of processing on the integrity of the mask multilayer is measured using an actinic reflectometer at the College of Nanoscale Science and Engineering.


Proceedings of SPIE | 2012

Smoothing of substrate pits using ion beam deposition for EUV lithography

Jenah Harris-Jones; Vibhu Jindal; Patrick Kearney; Ranganath Teki; Arun John; Hyuk Joo Kwon

Mitigation of pit-type defects proves to be a major hurdle facing the production of a defect-free mask blank for EUV lithography. Recent efforts have been directed toward substrate smoothing methods during deposition. The angle of incidence of the substrate is known to have a significant effect on the growth of defects during deposition. It has been shown that shadowing effects for bump-type defects are reduced when depositing Mo/Si films at near-normal incidence, resulting in a Gaussian growth profile in which the height and volume of the defect are minimized. Conversely, operating at off-normal incidence reduces shadowing of pit-type defects. When altering the angle of incidence of the substrate, the target angle must be changed to maintain uniformity. The resulting mask blank must also meet surface roughness specifications post-deposition while maintaining a low defect density. In this study, various substrate angle and target angle combinations were investigated within the Veeco Nexus Low Defect Density tool at SEMATECH to find optimum in situ pit smoothing conditions using ion beam deposition on both quartz and low thermal expansion material (LTEM) substrates. The possible substrate-target angle combinations are limited by the design of the current deposition tool; therefore, a phase space has been mapped out to determine uniform and non-uniform regions. Other deposition parameters including operating pressure and working gas composition were also explored. After deposition, EUV reflectrometry measurements were taken to evaluate uniformity in the wavelength; surface roughness, change in pit depth, change in full width at half maximum, and pit smoothing power were determined using atomic force microscopy (AFM); transmission electron microscopy (TEM) was used to study the effect of film disruption through the multilayer; and the printability of smoothed pits will be measure actinically using SEMATECHs AIT tool. Preliminary results show that positive values for substrate angles in the uniform region tend to give a high surface roughness after multilayer deposition; however, the combinations with negative substrate angles show promising results. Substrate angles with lower values resulted in better smoothing than the higher substrate angles. AFM results confirmed that pit smoothing power at lower substrate angles is greater than under the standard deposition conditions employed by the tool. Lower chamber pressure was proven to increase the smoothing power of pit-type defects during deposition. Preliminary TEM cross-section data confirmed the smoothing results obtained by AFM analysis. The use of Ne and Xe as working gases is also under review. Extensive AFM analysis, TEM cross-sections, and printability data will be presented.


Proceedings of SPIE | 2012

Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks

Vibhu Jindal; Patrick Kearney; Jaewoong Sohn; Jenah Harris-Jones; Arun John; Milton Godwin; A. Antohe; Ranganath Teki; Andy Ma; Frank Goodwin; Al Weaver; P. Teora

Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECHs current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.

Collaboration


Dive into the Ranganath Teki's collaboration.

Researchain Logo
Decentralizing Knowledge