Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Frank Goodwin is active.

Publication


Featured researches published by Frank Goodwin.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Selection and evaluation of developer-soluble topcoat for 193nm immersion lithography

Yayi Wei; Karen Petrillo; Stefan Brandl; Frank Goodwin; P. Benson; R. Housley; U. Okoroanyanwu

Successful developer-soluble topcoats have to fulfill numerous requirements; specifically they have to serve as a barrier layer and be compatible with the resist. Some of the requirements and compatibility issues have been understood; others are still under-investigation by the joint efforts of lithographers and resist chemists. This paper addresses these requirements from the perspective of overall lithographic performance for developer-soluble topcoats used in 193nm water immersion lithography. We demonstrate that with the optimized combination of resist and developer-soluble topcoat 90nm 1:1 dense lines can be printed using a prototype tool, ASML AT 1150i, and a binary image mask (BIM) with a maximum depth-of-focus (DOF) of ~1.2μm. An approximate 2X DOF improvement over dry lithography that was theoretically expected has been truly demonstrated. Topcoat related defectivity as well as defect reduction efforts are also discussed.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2010

Effect of carbon contamination on the printing performance of extreme ultraviolet masks

Yu-Jen Fan; Leonid Yankulin; Alin Antohe; Petros Thomas; Chimaobi Mbanaso; Rashi Garg; Yunfei Wang; Andrea Wüest; Frank Goodwin; Sungmin Huh; Patrick P. Naulleau; Kenneth A. Goldberg; Iacopo Mochi; G. Denbeaux

Carbon contamination is a significant issue with extreme ultraviolet (EUV) masks because it lowers throughput and has potential effects on imaging performance. Current carbon contamination research is primarily focused on the lifetime of the multilayer surfaces, determined by reflectivity loss and reduced throughput in EUV exposure tools. However, contamination on patterned EUV masks can cause additional effects on absorbing features and can affect the printed images. In this work, various carbon contamination experiments were performed to study the impact between contamination topography and observed imaging performance. Lithographic simulation using calculated aerial images and experimentally determined resist parameters was performed and compared to the printing results to estimate the allowed carbon thickness with critical dimension compensation applied to the mask.


Proceedings of SPIE | 2007

Initial experience establishing an EUV baseline lithography process for manufacturability assessment

Obert Wood; D. Back; Robert Brainard; Greg Denbeaux; Dario L. Goldfarb; Frank Goodwin; John G. Hartley; Kurt R. Kimmel; Chiew-seng Koay; B. La Fontaine; Jeff Mackey; Brian Martinick; Warren Montgomery; Patrick Naulleau; Uzodinma Okoroanyanwu; Karen Petrillo; Bill Pierson; Michael Tittnich; Sven Trogisch; Tom Wallow; Yayi Wei

The International Venture for Nanolithography (INVENT) initiative announced in mid 2005, a unique industry-university consortium between the College of Nanoscale Science and Engineering at Albany and a group of leading edge integrated device manufacturers, has launched an extensive R&D program on EUV lithography (EUVL). The overall scope of the INVENT EUVL program, the status of our efforts to establish a baseline lithography process on a full-field EUVL scanner, and our progress in evaluating EUV resist materials, in designing a custom reticle for scanner characterization and in developing an actinic EUV mask imaging microscope, are discussed.


Proceedings of SPIE | 2008

Formation mechanism of 193nm immersion defects and defect reduction strategies

Yayi Wei; Stefan Brandl; Frank Goodwin

The formation mechanism of various 193nm immersion-related defects is investigated. The experimental results are reviewed and compared to the simulation results, which enables us to form a clear picture of how the immersion defects are generated. Based on the understanding of defects mechanisms, a series of the defect reduction measures is proposed for the 193nm immersion process.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Investigation of immersion related defects using pre- and post-wet experiments

Stefan Brandl; Robert Watso; Bill Pierson; Steve Holmes; Yayi Wei; Karen Petrillo; Kevin Cummings; Frank Goodwin

To evaluate the effect of water exposure to a resist stack a set of experiments was designed that introduce a pre- and post-exposure wetting time to a coated wafer. The ASML 1150i α-immersion scanner, integrated with a TEL-Lithius coater track, was used to investigate the formation of defects related to the extended wetting. In the first approach, wetting was achieved using a dynamic DI-water rinse in the developer module of the track. For the second approach the immersion hood was positioned over the wafer at a fixed position and time, subjecting the wafer area below the immersion hood to the flowing water. We investigated various resists and topcoats. Defect inspections were performed on these film stacks after imaging.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2012

Simulation study of cleaning induced extreme ultraviolet reflectivity loss mechanisms on mask blanks

Mihir Upadhyaya; G. Denbeaux; Arun John Kadaksham; Vibhu Jindal; Jenah Harris Jones; Byunghoon Lee; Frank Goodwin

It is widely recognized in the semiconductor industry that getting to defect-free extreme ultraviolet (EUV) mask blanks is critical in achieving high volume chip manufacturing yield beyond the 22 nm half-pitch node. Finished mask blanks are normally subjected to a cleaning process to get rid of the loosely adhered particles on the top. It is important that this cleaning process does not degrade the properties of the multilayer blank or introduce additional particles or pits during the process. However, standard cleaning processes used to clean multilayer blanks can result in EUV reflectivity loss, loss of uniformity in reflectivity, increased roughness, and add pits and particles on mask blanks. The standard cleaning process consists of multiple steps, each of which may cause the oxidation of the ruthenium capping layer, as well as the underlying bilayers, etching of the multilayer stack, and increased roughness of the bilayers, thus leading to a loss in EUV reflectivity. It is a challenging task to exper...


Spie Newsroom | 2011

Gaseous spectral filters to mitigate infrared radiation

Chimaobi Mbanaso; G. Denbeaux; Alin Antohe; Frank Goodwin; A. Hershcovitch

Extreme ultraviolet (EUV) lithography is a promising technology to print features 22nm in dimension or less for the semiconductor industry.1–4 To generate the EUV light (13.5nm wavelength) needed for this technology, a leading technique uses an infrared carbon dioxide (CO2/ laser pulse to ionize a target to produce a plasma.4, 5 The spectrum of this laser-produced plasma is dominated by infrared radiation from the CO2 drive laser (10.6 m wavelength).6 Of this infrared radiation incident on the collector optics, over 90% is reflected5 towards the intermediate focus—the exit point for the light generated in the EUV source region. An issue with this technique is that infrared radiation heating causes thermal deformation of the optical components beyond the intermediate focus.6 Therefore, in EUV systems that include a CO2 laser-produced plasma, spectral filters that can withstand high-heat loads with minimal EUV transmission loss are needed to mitigate 10.6 m infrared radiation.


Proceedings of SPIE | 2008

Extreme ultraviolet resist outgassing and its effect on nearby optics

Gregory Denbeaux; Rashi Garg; Chimaobi Mbanaso; Justin Waterman; Leonid Yankulin; Alin Antohe; Yu-Jen Fan; Warren Montgomery; Kim Dean; Kevin Orvek; Andrea Wüest; Yayi Wei; Frank Goodwin; Obert Wood; Chiew-seng Koay; Eric M. Gullikson; Andy Aquila; Charles S. Tarrio; Steven E. Grantham; Sasa Bajt

Extreme ultraviolet (EUV) photoresists are known to outgas during exposure to EUV radiation in the vacuum environment. This is of particular concern since some of the outgassed species may contaminate the nearby EUV optics and cause a loss of reflectivity and therefore throughput of the EUV exposure tools. Due to this issue, work has been performed to measure the species and quantities that outgas from EUV resists. Additionally, since the goal of these measurements is to determine the relative safety of various resists near EUV optics, work has been performed to measure the deposition rate of the outgassed molecules on Mo/Si-coated witness plate samples. The results for various species and tests show little measurable effect from resist components on optics contamination with modest EUV exposure doses.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Segmented alignment mark optimization and signal strength enhancement for deep trench process

Yuanting Cui; Frank Goodwin; Richard Johannes Franciscus Van Haren

This study characterizes the process influence on the alignment signal of deep trench (DT) process, and correlates product overlay with alignment results based on volume production data. The affecting processes include various steps of polysilicon thickness, nitride and oxide films, recess etch depth control, and resist thickness impact. Correlation also proves that the alignment signal plays an important role at the resulted long-term overlay stability. In order to improve the signal strength, further study focuses on the alignment optimization through mark design for deep trench process. The alignment marks evaluated include Scribe-lane Primary Marks (SPM) with difference process segmentations, short SPM marks and Versatile SPM marks. A good correlation is established between varying trench width or line width of mark segmentation and alignment signal strength. Comparison is also done for the signal strength between SPM mark and SSPM marks, between standard SPM mark and pure higher order marks.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2013

Influence of shield roughness on Mo/Si defect density for extreme ultraviolet lithography mask blanks

Junichi Kageyama; Mamoru Yoshimoto; Akifumi Matsuda; Vibhu Jindal; Patrick A. Kearney; Frank Goodwin

The authors investigated the influence of surface roughness of stainless-steel shields in an ion beam sputtering chamber on the particle defect density of deposited 50 pairs of Mo/Si bilayer films ([Mo/Si]50) used in extreme ultraviolet mask blanks. Shields with varying arithmetic average surface roughness (Ra range approximately 3 to 20 μm) were mounted close to the sputtering targets and the substrate, and along the vacuum chamber interior wall. Silicon-rich particles (Si and Si/Mo) with diameters in the range of several tens of nanometers or more were quantified within a 142 mm× 142 mm area of the prepared blank film using a mask blank inspection tool. Si-rich particle defect density was found to be proportional to the inverse square of the shield surface roughness, suggesting that Si-rich particles arise from the shield surface. The shields with roughness exceeding 8 μm effectively suppressed the accumulation of Si-rich particle defects on the mask blank film.

Collaboration


Dive into the Frank Goodwin's collaboration.

Top Co-Authors

Avatar

Yayi Wei

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

G. Denbeaux

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Iacopo Mochi

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Obert Wood

Advanced Micro Devices

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge