Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where K. Mistry is active.

Publication


Featured researches published by K. Mistry.


international electron devices meeting | 2007

A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging

K. Mistry; C. Allen; C. Auth; B. Beattie; D. Bergstrom; M. Bost; M. Brazier; M. Buehler; Annalisa Cappellani; Robert S. Chau; C.-H. Choi; G. Ding; K. Fischer; Tahir Ghani; R. Grover; W. Han; D. Hanken; M. Hattendorf; J. He; Jeff Hicks; R. Huessner; D. Ingerly; Pulkit Jain; R. James; L. Jong; S. Joshi; C. Kenyon; Kelin J. Kuhn; K. Lee; Huichu Liu

A 45 nm logic technology is described that for the first time incorporates high-k + metal gate transistors in a high volume manufacturing process. The transistors feature 1.0 nm EOT high-k gate dielectric, dual band edge workfunction metal gates and third generation strained silicon, resulting in the highest drive currents yet reported for NMOS and PMOS. The technology also features trench contact based local routing, 9 layers of copper interconnect with low-k ILD, low cost 193 nm dry patterning, and 100% Pb-free packaging. Process yield, performance and reliability are demonstrated on 153 Mb SRAM arrays with SRAM cell size of 0.346 mum2, and on multiple microprocessors.


international electron devices meeting | 2003

A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors

Tahir Ghani; Mark Armstrong; C. Auth; M. Bost; P. Charvat; Glenn A. Glass; T. Hoffmann; K. Johnson; C. Kenyon; Jason Klaus; B. McIntyre; K. Mistry; Anand S. Murthy; J. Sandford; M. Silberstein; Sam Sivakumar; P. Smith; K. Zawadzki; S. Thompson; Mark Bohr

This paper describes the details of a novel strained transistor architecture which is incorporated into a 90nm logic technology on 300mm wafers. The unique strained PMOS transistor structure features an epitaxially grown strained SiGe film embedded in the source drain regions. Dramatic performance enhancement relative to unstrained devices are reported. These transistors have gate length of 45nm and 50nm for NMOS and PMOS respectively, 1.2nm physical gate oxide and Ni salicide. World record PMOS drive currents of 700/spl mu/A//spl mu/m (high V/sub T/) and 800/spl mu/A//spl mu/m (low V/sub T/) at 1.2V are demonstrated. NMOS devices exercise a highly tensile silicon nitride capping layer to induce tensile strain in the NMOS channel region. High NMOS drive currents of 1.26mA//spl mu/m (high VT) and 1.45mA//spl mu/m (low VT) at 1.2V are reported. The technology is mature and is being ramped into high volume manufacturing to fabricate next generation Pentium/spl reg/ and Intel/spl reg/ Centrino/spl trade/ processor families.


IEEE Transactions on Electron Devices | 2004

A 90-nm logic technology featuring strained-silicon

Scott E. Thompson; Mark Armstrong; C. Auth; Mohsen Alavi; Mark Buehler; Robert S. Chau; S. Cea; Tahir Ghani; Glenn A. Glass; Thomas Hoffman; Chia-Hong Jan; Chis Kenyon; Jason Klaus; Kelly Kuhn; Zhiyong Ma; Brian McIntyre; K. Mistry; Anand S. Murthy; Borna Obradovic; Ramune Nagisetty; Phi L. Nguyen; Sam Sivakumar; R. Shaheed; Lucian Shifren; Bruce Tufts; Sunit Tyagi; Mark Bohr; Youssef A. El-Mansy

A leading-edge 90-nm technology with 1.2-nm physical gate oxide, 45-nm gate length, strained silicon, NiSi, seven layers of Cu interconnects, and low-/spl kappa/ CDO for high-performance dense logic is presented. Strained silicon is used to increase saturated n-type and p-type metal-oxide-semiconductor field-effect transistors (MOSFETs) drive currents by 10% and 25%, respectively. Using selective epitaxial Si/sub 1-x/Ge/sub x/ in the source and drain regions, longitudinal uniaxial compressive stress is introduced into the p-type MOSEFT to increase hole mobility by >50%. A tensile silicon nitride-capping layer is used to introduce tensile strain into the n-type MOSFET and enhance electron mobility by 20%. Unlike all past strained-Si work, the hole mobility enhancement in this paper is present at large vertical electric fields in nanoscale transistors making this strain technique useful for advanced logic technologies. Furthermore, using piezoresistance coefficients it is shown that significantly less strain (/spl sim/5 /spl times/) is needed for a given PMOS mobility enhancement when applied via longitudinal uniaxial compression versus in-plane biaxial tension using the conventional Si/sub 1-x/Ge/sub x/ substrate approach.


IEEE Electron Device Letters | 2004

A logic nanotechnology featuring strained-silicon

Scott E. Thompson; Mark Armstrong; C. Auth; S. Cea; Robert S. Chau; Glenn A. Glass; Thomas Hoffman; Jason Klaus; Zhiyong Ma; Brian McIntyre; Anand S. Murthy; Borna Obradovic; Lucian Shifren; Sam Sivakumar; Sunit Tyagi; Tahir Ghani; K. Mistry; Mark Bohr; Youssef A. El-Mansy

Strained-silicon (Si) is incorporated into a leading edge 90-nm logic technology . Strained-Si increases saturated n-type and p-type metal-oxide-semiconductor field-effect transistors (MOSFETs) drive currents by 10 and 25%, respectively. The process flow consists of selective epitaxial Si/sub 1-x/Ge/sub x/ in the source/drain regions to create longitudinal uniaxial compressive strain in the p-type MOSFET. A tensile Si nitride-capping layer is used to introduce tensile uniaxial strain into the n-type MOSFET and enhance electron mobility. Unlike past strained-Si work: 1) the amount of strain for the n-type and p-type MOSFET can be controlled independently on the same wafer and 2) the hole mobility enhancement in this letter is present at large vertical electric fields, thus, making this flow useful for nanoscale transistors in advanced logic technologies.


symposium on vlsi technology | 2012

A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors

Christopher Auth; C. Allen; A. Blattner; D. Bergstrom; M. Brazier; M. Bost; M. Buehler; V. Chikarmane; Tahir Ghani; T. Glassman; R. Grover; W. Han; D. Hanken; M. Hattendorf; P. Hentges; R. Heussner; J. Hicks; D. Ingerly; P. Jain; S. Jaloviar; R. James; D. Jones; J. Jopling; S. Joshi; C. Kenyon; Huichu Liu; R. McFadden; B. McIntyre; J. Neirynck; C. Parker

A 22nm generation logic technology is described incorporating fully-depleted tri-gate transistors for the first time. These transistors feature a 3rd-generation high-k + metal-gate technology and a 5th generation of channel strain techniques resulting in the highest drive currents yet reported for NMOS and PMOS. The use of tri-gate transistors provides steep subthreshold slopes (~70mV/dec) and very low DIBL (~50mV/V). Self-aligned contacts are implemented to eliminate restrictive contact to gate registration requirements. Interconnects feature 9 metal layers with ultra-low-k dielectrics throughout the interconnect stack. High density MIM capacitors using a hafnium based high-k dielectric are provided. The technology is in high volume manufacturing.


international electron devices meeting | 2002

A 90 nm logic technology featuring 50 nm strained silicon channel transistors, 7 layers of Cu interconnects, low k ILD, and 1 /spl mu/m/sup 2/ SRAM cell

S. Thompson; N. Anand; Mark Armstrong; C. Auth; B. Arcot; Mohsen Alavi; P. Bai; J. Bielefeld; R. Bigwood; J. Brandenburg; M. Buehler; Stephen M. Cea; V. Chikarmane; C.-H. Choi; R. Frankovic; Tahir Ghani; G. Glass; W. Han; T. Hoffmann; M. Hussein; P. Jacob; A. Jain; Chia-Hong Jan; S. Joshi; C. Kenyon; Jason Klaus; S. Klopcic; J. Luce; Z. Ma; B. McIntyre

A leading edge 90 nm technology with 1.2 nm physical gate oxide, 50 nm gate length, strained silicon, NiSi, 7 layers of Cu interconnects, and low k carbon-doped oxide (CDO) for high performance dense logic is presented. Strained silicon is used to increase saturated NMOS and PMOS drive currents by 10-20% and mobility by >50%. Aggressive design rules and unlanded contacts offer a 1.0 /spl mu/m/sup 2/ 6-T SRAM cell using 193 nm lithography.


IEEE Spectrum | 2007

The High-k Solution

Mark Bohr; Robert S. Chau; Tahir Ghani; K. Mistry

The Intels Core 2 microprocessors, based on the latest 45-nanometer CMOS process technology have more transistors and run faster and cooler than microprocessors fabricated with the previous, 65-nm process generation. For compute-intensive music, video, and gaming applications, users will see a hefty performance increase.


international symposium on low power electronics and design | 2001

Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs

Ali Keshavarzi; Sean Ma; Siva G. Narendra; Bradley Bloechel; K. Mistry; Tahir Ghani; Shekhar Borkar; Vivek De

Examines the effectiveness of opportunistic use of reverse body bias (RBB) to reduce leakage power during active operation, burn-in, and standby in 0.18 /spl mu/m single-V/sub t/ and 0.13 /spl mu/m dual-V/sub t/ logic process technologies. Investigates its dependencies on channel length, target V/sub t/, temperature and technology generation. Shows that RBB becomes less effective for leakage reduction at shorter channel lengths and lower V/sub t/ at both high and room temperatures, especially when target intrinsic leakage currents are high. RBB effectiveness also diminishes with technology scaling primarily because of worsening short-channel effects (SCE), particularly when target V/sub t/ values are low. A model is given that relates different transistor leakage components to full-chip leakage current, and is validated through test-chip measurements across a range of RBB values.


symposium on vlsi technology | 2008

45nm High-k + metal gate strain-enhanced transistors

Christopher Auth; A. Cappellani; J.-S. Chun; A. Dalis; A. Davis; Tahir Ghani; G. Glass; T. Glassman; M. Harper; M. Hattendorf; P. Hentges; S. Jaloviar; S. Joshi; J. Klaus; Kelin J. Kuhn; D. Lavric; M. Lu; H. Mariappan; K. Mistry; B. Norris; N. Rahhal-orabi; P. Ranade; J. Sandford; Lucian Shifren; V. Souw; K. Tone; F. Tambwe; A. Thompson; D. Towner; T. Troeger

Two key process features that are used to make 45 nm generation metal gate + high-k gate dielectric CMOS transistors are highlighted in this paper. The first feature is the integration of stress-enhancement techniques with the dual metal-gate + high-k transistors. The second feature is the extension of 193 nm dry lithography to the 45 nm technology node pitches. Use of these features has enabled industry-leading transistor performance and the first high volume 45 nm high-k + metal gate technology.


symposium on vlsi technology | 2000

Scaling challenges and device design requirements for high performance sub-50 nm gate length planar CMOS transistors

Tahir Ghani; K. Mistry; P. Packan; Scott E. Thompson; Mark Stettler; Sunit Tyagi; Mark Bohr

Summary form only given. We investigate scaling challenges and outline device design requirements needed to support high performance-low power planar CMOS transistor structures with physical gate lengths (L/sub GATE/) below 50 nm. This work uses a combination of simulation results, experimental data and critical analysis of published data. A realistic assessment of gate oxide thickness scaling and maximum tolerable oxide leakage is provided. We conclude that the commonly accepted upper limit of 1 A/cm/sup 2/ for gate leakage is overly pessimistic and that leakage values of up to 100 A/cm/sup 2/ are deemed acceptable for future logic technology generations. Unique channel mobility and junction edge leakage degradation mechanisms, which become prominent at 50 nm L/sub GATE/ dimensions, are highlighted using quantitative analysis. Source-drain extension (SDE) profile design requirements to simultaneously minimize short channel effects (SCE) and achieve low parasitic resistance for sub-50 nm L/sub GATE/ transistors are described for the first time.

Collaboration


Dive into the K. Mistry's collaboration.

Researchain Logo
Decentralizing Knowledge