Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where P. Packan is active.

Publication


Featured researches published by P. Packan.


international electron devices meeting | 2014

A 14nm logic technology featuring 2 nd -generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 µm 2 SRAM cell size

Sanjay S. Natarajan; M. Agostinelli; S. Akbar; M. Bost; A. Bowonder; V. Chikarmane; S. Chouksey; A. Dasgupta; K. Fischer; Q. Fu; Tahir Ghani; M. Giles; S. Govindaraju; R. Grover; W. Han; D. Hanken; E. Haralson; M. Haran; M. Heckscher; R. Heussner; Pulkit Jain; R. James; R. Jhaveri; I. Jin; Hei Kam; Eric Karl; C. Kenyon; Mark Y. Liu; Y. Luo; R. Mehandru

A 14nm logic technology using 2nd-generation FinFET transistors with a novel subfin doping technique, self-aligned double patterning (SADP) for critical patterning layers, and air-gapped interconnects at performance-critical layers is described. The transistors feature rectangular fins with 8nm fin width and 42nm fin height, 4th generation high-k metal gate, and 6th-generation strained silicon, resulting in the highest drive currents yet reported for 14nm technology. This technology is in high-volume manufacturing.


international electron devices meeting | 2009

High performance 32nm logic technology featuring 2 nd generation high-k + metal gate transistors

P. Packan; S. Akbar; Mark Armstrong; D. Bergstrom; M. Brazier; H. Deshpande; K. Dev; G. Ding; Tahir Ghani; Oleg Golonzka; W. Han; Jun He; R. Heussner; R. James; J. Jopling; C. Kenyon; S-H. Lee; Mark Y. Liu; S. Lodha; B. Mattis; Anand S. Murthy; L. Neiberg; J. Neirynck; Sangwoo Pae; C. Parker; L. Pipes; J. Sebastian; J. Seiple; B. Sell; Ajay K. Sharma

A 32nm logic technology for high performance microprocessors is described. 2nd generation high-k + metal gate transistors provide record drive currents at the tightest gate pitch reported for any 32nm or 28nm logic technology. NMOS drive currents are 1.62mA/um Idsat and 0.231mA/um Idlin at 1.0V and 100nA/um Ioff. PMOS drive currents are 1.37mA/um Idsat and 0.240mA/um Idlin at 1.0V and 100nA/um Ioff. The impact of SRAM cell and array size on Vccmin is reported.


international electron devices meeting | 2008

A 32nm logic technology featuring 2 nd -generation high-k + metal-gate transistors, enhanced channel strain and 0.171μm 2 SRAM cell size in a 291Mb array

Sanjay S. Natarajan; Mark Armstrong; M. Bost; Ruth A. Brain; M. Brazier; C.-H. Chang; V. Chikarmane; M. Childs; H. Deshpande; K. Dev; G. Ding; Tahir Ghani; Oleg Golonzka; W. Han; J. He; R. Heussner; R. James; I. Jin; C. Kenyon; S. Klopcic; S.-H. Lee; Mark Y. Liu; S. Lodha; B. McFadden; Anand S. Murthy; L. Neiberg; J. Neirynck; P. Packan; S. Pae; C. Parker

A 32 nm generation logic technology is described incorporating 2nd-generation high-k + metal-gate technology, 193 nm immersion lithography for critical patterning layers, and enhanced channel strain techniques. The transistors feature 9 Aring EOT high-k gate dielectric, dual band-edge workfunction metal gates, and 4th-generation strained silicon, resulting in the highest drive currents yet reported for NMOS and PMOS. Process yield, performance and reliability are demonstrated on a 291 Mbit SRAM test vehicle, with 0.171 mum2 cell size, containing >1.9 billion transistors.


symposium on vlsi technology | 2000

Scaling challenges and device design requirements for high performance sub-50 nm gate length planar CMOS transistors

Tahir Ghani; K. Mistry; P. Packan; Scott E. Thompson; Mark Stettler; Sunit Tyagi; Mark Bohr

Summary form only given. We investigate scaling challenges and outline device design requirements needed to support high performance-low power planar CMOS transistor structures with physical gate lengths (L/sub GATE/) below 50 nm. This work uses a combination of simulation results, experimental data and critical analysis of published data. A realistic assessment of gate oxide thickness scaling and maximum tolerable oxide leakage is provided. We conclude that the commonly accepted upper limit of 1 A/cm/sup 2/ for gate leakage is overly pessimistic and that leakage values of up to 100 A/cm/sup 2/ are deemed acceptable for future logic technology generations. Unique channel mobility and junction edge leakage degradation mechanisms, which become prominent at 50 nm L/sub GATE/ dimensions, are highlighted using quantitative analysis. Source-drain extension (SDE) profile design requirements to simultaneously minimize short channel effects (SCE) and achieve low parasitic resistance for sub-50 nm L/sub GATE/ transistors are described for the first time.


international electron devices meeting | 1998

A high performance 180 nm generation logic technology

S. Yang; S.U. Ahmed; B. Arcot; R. Arghavani; P. Bai; S. Chambers; P. Charvat; R. Cotner; Robert A. Gasser; Tahir Ghani; M. Hussein; Chia-Hong Jan; C. Kardas; J. Maiz; P. McGregor; B. McIntyre; P. Nguyen; P. Packan; I. Post; S. Sivakumar; Joseph M. Steigerwald; M. Taylor; B. Tufts; Sunit Tyagi; Mark Bohr

A 180 nm generation logic technology has been developed with high performance 140 nm L/sub GATE/ transistors, six layers of aluminum interconnects and low-/spl epsi/ SiOF dielectrics. The transistors are optimized for a reduced 1.3-1.5 V operation to provide high performance and low power. The interconnects feature high aspect ratio metal lines for low resistance and fluorine doped SiO/sub 2/ inter-level dielectrics for reduced capacitance. 16 Mbit SRAMs with a 5.59 /spl mu/m/sup 2/ 6-T cell size have been built on this technology as a yield and reliability test vehicle.


international electron devices meeting | 1999

100 nm gate length high performance/low power CMOS transistor structure

Tahir Ghani; S.U. Ahmed; P. Aminzadeh; J. Bielefeld; P. Charvat; C. Chu; M. Harper; P. Jacob; Chia-Hong Jan; J. Kavalieros; C. Kenyon; R. Nagisetty; P. Packan; J. Sebastian; M. Taylor; J. Tsai; Sunit Tyagi; S. Yang; Mark Bohr

We report a very high performance 100 nm gate length CMOS transistor structure operating at 1.2-1.5 V. These transistors are incorporated in a 180 nm logic technology generation. Various process enhancements are incorporated to significantly improve transistor current drive capability relative to the results published by Yang et al. (1998). Unique transistor features responsible for achieving high performance are described. NMOS and PMOS devices demonstrate drive current of 1.04 mA//spl mu/m and 0.46 mA//spl mu/m respectively at 1.5 V and 3 nA//spl mu/m I/sub OFF/. These are the best drive currents reported to date at fixed I/sub OFF/. They represents 10% drive current improvement for both NMOS and PMOS devices relative to the results published by Yang without any change in gate-oxide thickness. High performance is demonstrated down to 1.2 V. Inverter delay of less than 10 psec is reported at 1.5 V at very moderate I/sub OFF/ values.


symposium on vlsi technology | 2004

A comparison of state-of-the-art NMOS and SiGe HBT devices for analog/mixed-signal/RF circuit applications

Kelin J. Kuhn; R. Basco; D. Becher; M. Hattendorf; P. Packan; I. Post; P. Vandervoorn; Ian A. Young

RF CMOS performance from a 90nm derivative communications process technology is compared to SiGe BJT performance. NMOS performance at f/sub T//f/sub max/ = 209/248 GHz (70nm) and f/sub T//f/sub max/ = 166/277 GHz (80nm) with F/sub min/ at 0.3 dB (2GHz) and 0.6 dB (10GHz) suggests there is no major reason to implement SiGe HBTs BiCMOS in an integrated communications process.


international electron devices meeting | 1996

A high performance 0.25 /spl mu/m logic technology optimized for 1.8 V operation

Mark Bohr; S.S. Ahmed; S.U. Ahmed; M. Bost; Tahir Ghani; J. Greason; R. Hainsey; Chia-Hong Jan; P. Packan; Sam Sivakumar; S. Thompson; J. Tsai; S. Yang

A 0.25 /spl mu/m generation logic technology has been developed with high performance transistors and five layers of planarized interconnect. The transistors are optimized for 1.8 V operation to provide high performance, low power and good reliability. The interconnects feature extensive use of planarization and high aspect ratio metal lines. 4 Mbit SRAMs with a 10.26 /spl mu/m/sup 2/ 6-T cell size have been built on this technology.


symposium on vlsi technology | 1998

Source/drain extension scaling for 0.1 /spl mu/m and below channel length MOSFETs

Scott E. Thompson; P. Packan; Tahir Ghani; Mark Stettler; Mohsen Alavi; I. Post; Sunit Tyagi; S. Ahmed; S. Yang; Mark Bohr

In this paper, we investigate the scaling of source/drain extension (SDE) depth and SDE to gate overlap for 0.1 /spl mu/m and below MOSFETs. We show for the first time that a minimum SDE to gate overlap of 15-20 nm is needed to prevent drive current (I/sub DSAT/) degradation. We also show for the first time that scaling SDE vertical depths below 30-40 nm results in little to no performance benefit for 0.1 /spl mu/m devices and beyond since any improvement in short channel effects due to reduced charge sharing is offset by a large increase in external resistance and poor gate coupling between the channel and extensions.


international electron devices meeting | 2008

High performance Hi-K + metal gate strain enhanced transistors on (110) silicon

P. Packan; S. Cea; H. Deshpande; Tahir Ghani; Martin D. Giles; Oleg Golonzka; M. Hattendorf; Roza Kotlyar; Kelin J. Kuhn; Anand S. Murthy; P. Ranade; Lucian Shifren; Cory E. Weber; K. Zawadzki

For the first time, the performance impact of (110) silicon substrates on high-k + metal gate strained 45 nm node NMOS and PMOS devices is presented. Record PMOS drive currents of 1.2 mA/um at 1.0 V and 100 nA/um Ioff are reported. It will be demonstrated that 2D short channel effects strongly mitigate the negative impact of (110) substrates on NMOS performance. Narrow width (110) device performance is shown and compared to (100) for the first time. Device reliability is also reported showing no fundamental issue for (110) substrates.

Collaboration


Dive into the P. Packan's collaboration.

Researchain Logo
Decentralizing Knowledge