Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kai-Hsiung Chen is active.

Publication


Featured researches published by Kai-Hsiung Chen.


Proceedings of SPIE | 2012

Evaluation of a novel ultra small target technology supporting on- product overlay measurements

Henk-Jan H. Smilde; Arie Jeffrey Den Boef; Michael Kubis; Martin Jacobus Johan Jak; Mark van Schijndel; Andreas Fuchs; Maurits van der Schaar; Steffen Meyer; Stephen P. Morgan; Jon Wu; Vincent Tsai; Cathy Wang; Kaustuve Bhattacharyya; Kai-Hsiung Chen; Guo-Tsai Huang; Chih-Ming Ke; Jacky Huang

Reducing the size of metrology targets is essential for in-die overlay metrology in advanced semiconductor manufacturing. In this paper, μ-diffraction-based overlay (μDBO) measurements with a YieldStar metrology tool are presented for target-sizes down to 10 × 10 μm2. The μDBO technology enables selection of only the diffraction efficiency information from the grating by efficiently separating it from product structure reflections. Therefore, μDBO targets -even when located adjacent to product environment- give excellent correlation with 40 × 160 μm2 reference targets. Although significantly smaller than standard scribe-line targets, they can achieve total-measurement-uncertainty values of below 0.5 nm on a wide range of product layers. This shows that the new μDBO technique allows for accurate metrology on ultra small in-die targets, while retaining the excellent TMU performance of diffraction-based overlay metrology.


Proceedings of SPIE | 2014

Improving on-product performance at litho using integrated diffraction-based metrology and computationally designed device-like targets fit for advanced technologies (incl. FinFET)

Kai-Hsiung Chen; Guo-Tsai Huang; Ks Chen; C. W. Hsieh; Yi-Yin Chen; Chih-Ming Ke; Tsai-Sheng Gau; Yao-Ching Ku; Kaustuve Bhattacharyya; Jacky Huang; Arie Jeffrey Den Boef; Maurits van de Schaar; Martijn Maassen; Reinder Teun Plug; Youping Zhang; Steffen Meyer; Martijn van Veen; Chris de Ruiter; Jon Wu; Hua Xu; Tatung Chow; Charlie Chen; Eric Verhoeven; Pu Li; Paul Hinnen; Greet Storms; Kelvin Pao; Gary Zhang; Christophe Fouquet; Takuya Mori

In order to meet current and future node overlay, CD and focus requirements, metrology and process control performance need to be continuously improved. In addition, more complex lithography techniques, such as double patterning, advanced device designs, such as FinFET, as well as advanced materials like hardmasks, pose new challenges for metrology and process control. In this publication several systematic steps are taken to face these challenges.


Proceedings of SPIE | 2013

On-product overlay enhancement using advanced litho-cluster control based on integrated metrology, ultra-small DBO targets and novel corrections

Kaustuve Bhattacharyya; Chih-Ming Ke; Guo-Tsai Huang; Kai-Hsiung Chen; Henk-Jan H. Smilde; Andreas Fuchs; Martin Jacobus Johan Jak; Mark van Schijndel; Murat Bozkurt; Maurits van der Schaar; Steffen Meyer; Miranda Un; Stephen P. Morgan; Jon Wu; Vincent Tsai; Frida Liang; Arie Jeffrey Den Boef; Peter Ten Berge; Michael Kubis; Cathy Wang; Christophe Fouquet; L. G. Terng; David Hwang; Kevin Cheng; Tsai-Sheng Gau; Yao-Ching Ku

Aggressive on-product overlay requirements in advanced nodes are setting a superior challenge for the semiconductor industry. This forces the industry to look beyond the traditional way-of-working and invest in several new technologies. Integrated metrology2, in-chip overlay control, advanced sampling and process correction-mechanism (using the highest order of correction possible with scanner interface today), are a few of such technologies considered in this publication.


Proceedings of SPIE | 2013

Reduction of image-based ADI-to-AEI overlay inconsistency with improved algorithm

Yen-Liang Chen; Shu-Hong Lin; Kai-Hsiung Chen; Chih-Ming Ke; Tsai-Sheng Gau

In image-based overlay (IBO) measurement, the measurement quality of various measurement spectra can be judged by quality indicators and also the ADI-to-AEI similarity to determine the optimum light spectrum. However we found some IBO measured results showing erroneous indication of wafer expansion from the difference between the ADI and the AEI maps, even after their measurement spectra were optimized. To reduce this inconsistency, an improved image calculation algorithm is proposed in this paper. Different gray levels composed of inner- and outer-box contours are extracted to calculate their ADI overlay errors. The symmetry of intensity distribution at the thresholds dictated by a range of gray levels is used to determine the particular gray level that can minimize the ADI-to-AEI overlay inconsistency. After this improvement, the ADI is more similar to AEI with less expansion difference. The same wafer was also checked by the diffraction-based overlay (DBO) tool to verify that there is no physical wafer expansion. When there is actual wafer expansion induced by large internal stress, both the IBO and the DBO measurements indicate similar expansion results. The scanning white-light interference microscope was used to check the variation of wafer warpage during the ADI and AEI stages. It predicts a similar trend with the overlay difference map, confirming the internal stress.


advanced semiconductor manufacturing conference | 2013

Advanced litho-cluster control via integrated in-chip metrology

Kaustuve Bhattacharyya; Henk-Jan H. Smilde; Arie Jeffrey Den Boef; Andreas Fuchs; Steffen Meyer; Chih-Ming Ke; Guo-Tsai Huang; Kai-Hsiung Chen

The high-end semiconductor lithography requirements for overlay and focus control in near-future ITRS nodes are at subnanometer level. This development is extremely challenging for the metrology precision and accuracy, as scaling down to the sub-angstrom level is required for this. On top of the extreme metrology requirements, direct feed-back control of the lithographic steps is needed to meet the future node requirements. Integrated metrology with in-chip measurements, advanced sampling and control-mechanism (using the highest order of correction possible with scanner interface today), are a few of such technologies considered in this publication.


Optical Measurement Systems for Industrial Inspection VIII | 2013

Sub-nanometer in-die overlay metrology: measurement and simulation at the edge of finiteness

Henk-Jan H. Smilde; Martin Jacobus Johan Jak; Arie Jeffrey Den Boef; Mark van Schijndel; Murat Bozkurt; Andreas Fuchs; Maurits van der Schaar; Steffen Meyer; Stephen P. Morgan; Kaustuve Bhattacharyya; Guo-Tsai Huang; Chih-Ming Ke; Kai-Hsiung Chen

The target size reduction for overlay metrology is driven by the optimization of the device area. Furthermore, for the future semiconductor nodes accurate metrology on the order of 0.2 nm is necessary locally in the device area, requiring small in-die targets that fit within the product structures on the wafer. In this, the diffraction-based overlay metrology using optical scatterometry is challenged to extreme limits. The small grating cannot be considered as an infinitely repeating line-space structure with a sharply peaked spectrum, however a continuous spectrum is observed. Also, metrology proximity effects due to the environment near the metrology target need to be taken into account. On the one hand, this sets strict design and assembly rules of the metrology sensor. On the other hand, the optical ray-based analysis is extended to wave-based analysis to capture the full extent of the overlay application and sensor. In this publication, the challenges of sub-nanometer in-die overlay metrology are addressed, including measurements and simulations.


Proceedings of SPIE | 2008

Pellicle effect on OPC modeling

Boren Luo; Chi-Kang Chang; Wen-Yun Wang; Wen-Chun Huang; Timothy Wu; C. W. Lai; R. G. Liu; Hua-Tai Lin; Kai-Hsiung Chen; Yao-Ching Ku

As the patterning of IC manufacturing shrinks to the 32-nm node and beyond, high-NA and immersion lithography are required for pushing resolution to its physical limit. To achieve good OPC performance, various physical effects such as polarization, mask topography, and mask pellicle have to be considered to improve the model accuracy. The attenuation and the phase variation of TE and TM wave components induced by the pellicle would impact optical qualities in terms of resolution, distortion, defocus shift, and high-order aberrations. In this paper, the OPC model considering pellicle effects is investigated with Jones pupil. The CD variation induced by the pellicle effect can be predicted accurately. Therefore, the improvement on model accuracy for 32-nm node is demonstrated.


Metrology, Inspection, and Process Control for Microlithography XXXII | 2018

Multi-wavelength approach towards on-product overlay accuracy and robustness

Guo-Tsai Huang; Cathy Wang; Kai-Hsiung Chen; Kaustuve Bhattacharyya; Marc Noot; Hammer Chang; Sax Liao; Ken Chang; Benny Gosali; Eason Su; Arie Jeffrey Den Boef; Christophe Fouquet; Kevin Cheng; John Lin

Success of diffraction-based overlay (DBO) technique1,4,5 in the industry is not just for its good precision and low toolinduced shift, but also for the measurement accuracy2 and robustness that DBO can provide. Significant efforts are put in to capitalize on the potential that DBO has to address measurement accuracy and robustness. Introduction of many measurement wavelength choices (continuous wavelength) in DBO is one of the key new capabilities in this area. Along with the continuous choice of wavelengths, the algorithms (fueled by swing-curve physics) on how to use these wavelengths are of high importance for a robust recipe setup that can avoid the impact from process stack variations (symmetric as well as asymmetric). All these are discussed. Moreover, another aspect of boosting measurement accuracy and robustness is discussed that deploys the capability to combine overlay measurement data from multiple wavelength measurements. The goal is to provide a method to make overlay measurements immune from process stack variations and also to report health KPIs for every measurement. By combining measurements from multiple wavelengths, a final overlay measurement is generated. The results show a significant benefit in accuracy and robustness against process stack variation. These results are supported by both measurement data as well as simulation from many product stacks.


Proceedings of SPIE | 2017

A complete methodology towards accuracy and lot-to-lot robustness in on-product overlay metrology using flexible wavelength selection

Kaustuve Bhattacharyya; Arie Jeffrey Den Boef; Marc Noot; Omer Adam; Grzegorz Grzela; Andreas Fuchs; Martin Jacobus Johan Jak; Sax Liao; Ken Chang; Vincent Couraudon; Eason Su; Wilson Tzeng; Cathy Wang; Christophe Fouquet; Guo-Tsai Huang; Kai-Hsiung Chen; Yu-Hsi Wang; Kevin Cheng; Chih-Ming Ke; L. G. Terng

The optical coupling between gratings in diffraction-based overlay triggers a swing-curve1,6 like response of the target’s signal contrast and overlay sensitivity through measurement wavelengths and polarizations. This means there are distinct measurement recipes (wavelength and polarization combinations) for a given target where signal contrast and overlay sensitivity are located at the optimal parts of the swing-curve that can provide accurate and robust measurements. Some of these optimal recipes can be the ideal choices of settings for production. The user has to stay away from the non-optimal recipe choices (that are located on the undesirable parts of the swing-curve) to avoid possibilities to make overlay measurement error that can be sometimes (depending on the amount of asymmetry and stack) in the order of several “nm”. To accurately identify these optimum operating areas of the swing-curve during an experimental setup, one needs to have full-flexibility in wavelength and polarization choices. In this technical publication, a diffraction-based overlay (DBO) measurement tool with many choices of wavelengths and polarizations is utilized on advanced production stacks to study swing-curves. Results show that depending on the stack and the presence of asymmetry, the swing behavior can significantly vary and a solid procedure is needed to identify a recipe during setup that is robust against variations in stack and grating asymmetry. An approach is discussed on how to use this knowledge of swing-curve to identify recipe that is not only accurate at setup, but also robust over the wafer, and wafer-to-wafer. KPIs are reported in run-time to ensure the quality / accuracy of the reading (basically acting as an error bar to overlay measurement).


Proceedings of SPIE | 2017

Application of advanced diffraction based optical metrology overlay capabilities for high-volume manufacturing

Kai-Hsiung Chen; Guo-Tsai Huang; Hung-Chih Hsieh; Wei-Feng Ni; S. M. Chuang; T. K. Chuang; Chih-Ming Ke; Jacky Huang; Shiuan-An Rao; Aysegul Cumurcu Gysen; Maxime d'Alfonso; Jenny Yueh; Pavel Izikson; Aileen Soco; Jon Wu; Tjitte Nooitgedagt; Jeroen Ottens; Yong Ho Kim; Martin Ebert

On-product overlay requirements are becoming more challenging with every next technology node due to the continued decrease of the device dimensions and process tolerances. Therefore, current and future technology nodes require demanding metrology capabilities such as target designs that are robust towards process variations and high overlay measurement density (e.g. for higher order process corrections) to enable advanced process control solutions. The impact of advanced control solutions based on YieldStar overlay data is being presented in this paper. Multi patterning techniques are applied for critical layers and leading to additional overlay measurement demands. The use of 1D process steps results in the need of overlay measurements relative to more than one layer. Dealing with the increased number of overlay measurements while keeping the high measurement density and metrology accuracy at the same time presents a challenge for high volume manufacturing (HVM). These challenges are addressed by the capability to measure multi-layer targets with the recently introduced YieldStar metrology tool, YS350. On-product overlay results of such multi-layers and standard targets are presented including measurement stability performance.

Collaboration


Dive into the Kai-Hsiung Chen's collaboration.

Researchain Logo
Decentralizing Knowledge