Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tsai-Sheng Gau is active.

Publication


Featured researches published by Tsai-Sheng Gau.


international electron devices meeting | 2003

A 65nm node strained SOI technology with slim spacer

Fu-Liang Yang; Chien-Chao Huang; Hou-Yu Chen; Jhon-Jhy Liaw; Tang-Xuan Chung; Hung-Wei Chen; Chang-Yun Chang; Cheng Chuan Huang; Kuang-Hsin Chen; Di-Hong Lee; Hsun-Chih Tsao; Cheng-Kuo Wen; Shui-Ming Cheng; Yi-Ming Sheu; Ke-Wei Su; Chi-Chun Chen; Tze-Liang Lee; Shih-Chang Chen; Chih-Jian Chen; Cheng-hung Chang; Jhi-cheng Lu; Weng Chang; Chuan-Ping Hou; Ying-Ho Chen; Kuei-Shun Chen; Ming Lu; Li-Wei Kung; Yu-Jun Chou; Fu-Jye Liang; Jan-Wen You

A 65 nm node strained SOI technology with high performance is demonstrated, providing drive currents of 1015 and 500 /spl mu/A//spl mu/m for N-FET and P-FET, respectively, at an off-state leakage of 40 nA//spl mu/m using 1 V operation. The technology employs an aggressively scaled slim spacer of 30 nm width to amplify stress benefits for performance improvement, and to reduce by 10-20 % the layout area for SRAM-cell-like circuits, while maintaining excellent hot carrier immunity and well-controlled short-channel effects. For the first time, we demonstrate that FinFET devices, implicitly implemented in this technology, offer a 8-15 % higher inverter speed compared to planar SOI devices at the same drive current.


symposium on vlsi technology | 2005

Novel 20nm hybrid SOI/bulk CMOS technology with 0.183/spl mu/m/sup 2/ 6T-SRAM cell by immersion lithography

Hou-Yu Chen; Chang-Yun Chang; Chien-Chao Huang; Tang-Xuan Chung; Sheng-Da Liu; Jiunn-Ren HwangYi-Hsuan Liu; Yu-Jun Chou; Hong-Jang Wu; King-Chang Shu; Chung-Kan Huang; Jan-Wen You; Jaw-Jung Shin; Chun-Kuang Chen; C. T. Lin; Ju-Wang Hsu; Bao-Chin Perng; Pang-Yen Tsai; Chi-Chun Chen; Jyu-Horng Shieh; Han-Jan Tao; Shin-Chang Chen; Tsai-Sheng Gau; Fu-Liang Yang

For the first time, a novel hybrid SOI/bulk CMOS technology with 20nm gate length and low-leakage 1.3nm thick SiON gate dielectric has been developed for advanced SOC applications. 26% (for N-FET) and 35% (for P-FET) improvements of intrinsic gate delay (CV/I) at low gate leakage of 20-40A/cm/sup 2/ have been achieved over previous leading-edge 45nm node version, while maintaining the same sub-threshold leakage (100nA//spl mu/m). 10 times reduction of the leakage can be further modulated by a virtual back-gate control. Fine patterning with line pitch of 90nm by immersion lithography is demonstrated, which features 0.183/spl mu/m/sup 2/ 6T-SRAM cell for 32nm node on-trend scaling.


Optical Microlithography XVIII | 2005

Characterization of ArF immersion process for production (Invited Paper)

Jeng-Horng Chen; Li-Jui Chen; Tun-Ying Fang; Tzung-Chi Fu; Lin-Hung Shiu; Yao-Te Huang; Norman Chen; Da-Chun Oweyang; Ming-Che Wu; Shih-Che Wang; John Lin; Chun-Kuang Chen; Wei-Ming Chen; Tsai-Sheng Gau; Burn Jeng Lin; Richard Moerman; Wendy Gehoel-van Ansem; Eddy van der Heijden; Fred de Jong; Dorothe Oorschot; Herman Boom; Martin Hoogendorp; Christian Wagner; Bert Koek

ArF immersion lithography is essential to extend optical lithography. In this study, we characterized the immersion process on production wafers. Key lithographic manufacturing parameters, overlay, CD uniformity, depth of focus (DOF), optical proximity effects (OPE), and defects are reported. Similar device electrical performance between the immersion and the dry wafers assures electrical compatibility with immersion lithography. The yield results on 90-nm Static Random Access Memory (SRAM) chips confirm doubling of DOF by immersion as expected. Poly images of the 65-nm node from a 0.85NA immersion scanner are also shown.


Proceedings of SPIE | 2014

Improving on-product performance at litho using integrated diffraction-based metrology and computationally designed device-like targets fit for advanced technologies (incl. FinFET)

Kai-Hsiung Chen; Guo-Tsai Huang; Ks Chen; C. W. Hsieh; Yi-Yin Chen; Chih-Ming Ke; Tsai-Sheng Gau; Yao-Ching Ku; Kaustuve Bhattacharyya; Jacky Huang; Arie Jeffrey Den Boef; Maurits van de Schaar; Martijn Maassen; Reinder Teun Plug; Youping Zhang; Steffen Meyer; Martijn van Veen; Chris de Ruiter; Jon Wu; Hua Xu; Tatung Chow; Charlie Chen; Eric Verhoeven; Pu Li; Paul Hinnen; Greet Storms; Kelvin Pao; Gary Zhang; Christophe Fouquet; Takuya Mori

In order to meet current and future node overlay, CD and focus requirements, metrology and process control performance need to be continuously improved. In addition, more complex lithography techniques, such as double patterning, advanced device designs, such as FinFET, as well as advanced materials like hardmasks, pose new challenges for metrology and process control. In this publication several systematic steps are taken to face these challenges.


Journal of Micro-nanolithography Mems and Moems | 2005

Thin-film optimization strategy in high numerical aperture optical lithography, part 1: principles

Shinn-Sheng Yu; Burn Jeng Lin; Anthony Yen; Chih-Ming Ke; Jacky Huang; Bang-Ching Ho; Chun-Kuang Chen; Tsai-Sheng Gau; Hong-Chang Hsieh; Yao-Ching Ku

The functional dependence of a resist critical dimension (CD) with respect to resist thickness for a general absorptive thin-film stack in the case of oblique incidence is derived analytically with the rigorous electromagnetic theory. Based on obtained results, we discuss those thin-film effects related to CD control, such as the swing effect, bulk effect, etc., especially in the regime of high numerical aperture optical lithography.


Journal of Vacuum Science & Technology B | 2001

Low-k1 optical lithography for 100 nm logic technology and beyond

Anthony Yen; Shinn-Sheng Yu; Jeng-Horng Chen; Chun-Kuang Chen; Tsai-Sheng Gau; Burn Jeng Lin

In this article, we present 193 nm lithography at a k1 factor of 0.37–0.40 and discuss several topics important to 100 nm logic such as optical proximity correction (OPC), control of critical dimension (CD) variation, and lowering of the mask error factor (MEF). In OPC, the best correction results can be achieved by developing accurate models and using reasonable segmentation rules. The technique of variable-dose exposures is demonstrated as a means to reduce interfield CD variation once the cause is known and can be characterized. A more than 30% reduction in CD variation is realized for variation caused by temperature nonuniformity in hot plates. The concept of two dimensional (2D) MEF is introduced to describe situations at feature ends. Higher numerical aperture or more effectively, quadrupole illumination, can be used to lower 1D and 2D MEFs. We also explore the technique of dipole illumination, which may be a candidate for taking optical lithography to k1<0.35.


Proceedings of SPIE | 2013

On-product overlay enhancement using advanced litho-cluster control based on integrated metrology, ultra-small DBO targets and novel corrections

Kaustuve Bhattacharyya; Chih-Ming Ke; Guo-Tsai Huang; Kai-Hsiung Chen; Henk-Jan H. Smilde; Andreas Fuchs; Martin Jacobus Johan Jak; Mark van Schijndel; Murat Bozkurt; Maurits van der Schaar; Steffen Meyer; Miranda Un; Stephen P. Morgan; Jon Wu; Vincent Tsai; Frida Liang; Arie Jeffrey Den Boef; Peter Ten Berge; Michael Kubis; Cathy Wang; Christophe Fouquet; L. G. Terng; David Hwang; Kevin Cheng; Tsai-Sheng Gau; Yao-Ching Ku

Aggressive on-product overlay requirements in advanced nodes are setting a superior challenge for the semiconductor industry. This forces the industry to look beyond the traditional way-of-working and invest in several new technologies. Integrated metrology2, in-chip overlay control, advanced sampling and process correction-mechanism (using the highest order of correction possible with scanner interface today), are a few of such technologies considered in this publication.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

A sophisticated metrology solution for advanced lithography: addressing the most stringent needs of today as well as future lithography

Victor Shih; Jacky Huang; Willie Wang; Guo-Tsai Huang; H. L. Chung; Alan Ho; Wenjin Yang; Sophia Wang; Chih-Ming Ke; Li-Jui Chen; C. R. Liang; H. H. Liu; H. J. Lee; L. G. Terng; Tsai-Sheng Gau; John Lin; Kaustuve Bhattacharyya; Maurits van der Schaar; Noelle Wright; Mir Shahrjerdy; Vivien Wang; Spencer Lin; Jon Wu; Sophie Peng; Dennis Chang; Cathy Wang; Andreas Fuchs; Omer Adam; Karel van der Mast

Advanced lithography is becoming increasingly demanding when speed and sophistication in communication between litho and metrology (feedback control) are most crucial. Overall requirements are so extreme that all measures must be taken in order to meet them. This is directly driving the metrology resolution, precision and matching needs in to deep sub-nanometer level [4]. Keeping the above in mind, a new scatterometry-based platform is under development at ASML. Authors have already published results of a thorough investigation of this promising new metrology technique which showed excellent results on resolution, precision and matching for overlay, as well as basic and advanced capabilities for CD [1], [2], [3]. In this technical presentation the authors will report the newest results from this ASML platform. This new work was divided in two sections: monitor wafer applications (scanner control - overlay, CD and focus) and product wafer applications.


Proceedings of SPIE | 2013

Reduction of image-based ADI-to-AEI overlay inconsistency with improved algorithm

Yen-Liang Chen; Shu-Hong Lin; Kai-Hsiung Chen; Chih-Ming Ke; Tsai-Sheng Gau

In image-based overlay (IBO) measurement, the measurement quality of various measurement spectra can be judged by quality indicators and also the ADI-to-AEI similarity to determine the optimum light spectrum. However we found some IBO measured results showing erroneous indication of wafer expansion from the difference between the ADI and the AEI maps, even after their measurement spectra were optimized. To reduce this inconsistency, an improved image calculation algorithm is proposed in this paper. Different gray levels composed of inner- and outer-box contours are extracted to calculate their ADI overlay errors. The symmetry of intensity distribution at the thresholds dictated by a range of gray levels is used to determine the particular gray level that can minimize the ADI-to-AEI overlay inconsistency. After this improvement, the ADI is more similar to AEI with less expansion difference. The same wafer was also checked by the diffraction-based overlay (DBO) tool to verify that there is no physical wafer expansion. When there is actual wafer expansion induced by large internal stress, both the IBO and the DBO measurements indicate similar expansion results. The scanning white-light interference microscope was used to check the variation of wafer warpage during the ADI and AEI stages. It predicts a similar trend with the overlay difference map, confirming the internal stress.


Proceedings of SPIE | 2010

A paradigm shift in scatterometry-based metrology solution addressing the most stringent needs of today as well as future lithography

Chih-Ming Ke; Victor Shih; Jacky Huang; Li-Jui Chen; Willie Wang; Guo-Tsai Huang; Wenjin Yang; Sophia Wang; C. R. Liang; Heng-Hsin Liu; H. J. Lee; L. G. Terng; Tsai-Sheng Gau; John Lin; Kaustuve Bhattacharyya; Maurits van der Schaar; Noelle Wright; Marc Noot; Mir Shahrjerdy; Vivien Wang; Spencer Lin; Jon Wu; Sophie Peng; Gavin Liu; Wei-Shun Tzeng; Jim Chen; Andreas Fuchs; Omer Adam; Cathy Wang

Advanced lithography is becoming increasingly demanding when speed and sophistication in communication between litho and metrology (feedback control) are most crucial. Overall requirements are so extreme that all measures must be taken in order to meet them. This is directly driving the metrology resolution, precision and matching needs in to deep sub-nanometer level as well as driving the need for higher sampling (throughput). Keeping the above in mind, a new scatterometry-based platform (called YieldStar) is under development at ASML. Authors have already published results of a thorough investigation of this promising new metrology technique which showed excellent results on resolution, precision and matching for overlay, as well as basic and advanced capabilities for CD. In this technical presentation the authors will report the newest results taken from YieldStar. This new work is divided in two sections: monitor wafer applications and product wafer applications. Under the monitor wafer application: overlay, CD and focus applications will be discussed for scanner and track hotplate control. Under the product wafer application: first results from integrated metrology will be reported followed by poly layer and 3D CD reconstruction results from hole layers as well as overlay-results from small (30x60um), process-robust overlay targets are reported.

Collaboration


Dive into the Tsai-Sheng Gau's collaboration.

Researchain Logo
Decentralizing Knowledge