Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Katherina Babich is active.

Publication


Featured researches published by Katherina Babich.


Advances in Resist Technology and Processing XX | 2003

Hardmask technology for sub-100-nm lithographic imaging

Katherina Babich; Arpan P. Mahorowala; David R. Medeiros; Dirk Pfeiffer; Karen Petrillo; Marie Angelopoulos; Alfred Grill; Vishnubhai Vitthalbhai Patel; Scott Halle; Timothy A. Brunner; Richard A. Conti; Scott D. Allen; Richard S. Wise

The importance of hardmask technology is becoming increasingly evident as the demand for high-resolution imaging dictates the use of ever-thinner resist films. An appropriately designed etch resistant hardmask used in conjunction with a thin resist can provide the combined lithographic and etch performance needed for sub-100 nm device fabrication. We have developed a silicon-based, plasma-enhanced chemical vapor deposition (PECVD) prepared material that performs both as an antireflective coating (ARC) and a hardmask and thus enables the use of thin resists for device fabrication. This ARC/hardmask material offers several advantages over organic bottom antireflective coatings (BARC). These benefits include excellent tunability of the materials optical properties, which allows superior substrate reflectivity control, and high etch selectivity to resist, exceeding 2:1. In addition, this material can serve as an effective hardmask etch barrier during the plasma etching of dielectric stacks, as the underlying silicon oxide etches eight times faster than this material in typical fluorocarbon plasma. These properties enable the pattering of features in 1-2 μm dielectric stacks using thin resists, imaging that would otherwise be impossible with conventional processing. Potential extendibility of this approach to feature sizes below 100nm has been also evaluated. High resolution images as small as 50nm, have been transferred into a 300nm thick SiO2 layer by using Si ARC/hardmask material as an etch mask. Lithographic performance and etch characteristics of a thin resist process over both single layer and index-graded ARC/hardmask materials will be shown.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

High-resolution 248-nm bilayer resist

Qinghuang Lin; Karen Petrillo; Katherina Babich; Douglas Charles Latulipe; David R. Medeiros; Arpan P. Mahorowala; John P. Simons; Marie Angelopoulos; Gregory M. Wallraff; Carl E. Larson; Debra Fenzel-Alexander; Ratnam Sooriyakumaran; Gregory Breyta; Phillip J. Brock; Richard A. Di Pietro; Donald C. Hofer

Bilayer thin film imaging is one approach to extend 248 nm optical lithography to 150 nm regime and beyond. In this paper, we report our progress in the development of a positive-tone bilayer resist system consisting of a thin silicon containing imaging layer over a recently developed crosslinked polymeric underlayer. The chemically amplified imaging layer resist is based on a novel dual-functional silicon containing monomer, tris(trimethylsilyl)silylethyl methacrylate, which in addition to providing etch resistance, also functions as the acid sensitive functionality. The stabilization of (beta) -silyl carboncation by silicon allows this moiety to serve as an acid sensitive protecting group. Thus high silicon content and high resist contrast are achieved simultaneously. Lithographic evaluation of the bilayer resist with a 0.63 NA and a 0.68 NA 248 nm exposure tool has demonstrated resolution down to 125 nm equal line/space features with a dose latitude of 16 percent and depth of focus (DOF) of 0.6 um. The dose latitude and DOF for 150 nm equal line/space features are 22 percent and 1.2 um, respectively. Finally, residue-free, ultra-high aspect ratio resist features have been obtained by O2 or O2/SO2 reactive ion etching using a high-density plasma etch system. The resist design, deprotection chemistry, lithographic and etch characteristics of the top layer, as well as the design of the new underlay, will be discussed.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Silicon containing polymer in applications for 193 nm high NA lithography processes

Sean D. Burns; Dirk Pfeiffer; Arpan P. Mahorowala; Karen Petrillo; Alexandera Clancy; Katherina Babich; David R. Medeiros; Scott D. Allen; Steven J. Holmes; Michael M. Crouse; Colin J. Brodsky; Victor Pham; Yi-Hsiung Lin; Kaushal S. Patel; Naftali E. Lustig; Allen H. Gabor; Christopher D. Sheraw; Phillip J. Brock; Carl E. Larson

The ability to extend 193 nm lithography resolution depends on increasing the numerical aperture (NA) of the exposure system, resulting in smaller depth of focus, which subsequently requires use of thinner photoresists. Bottom antireflective coatings (BARCs) are a necessity, but the organic composition of current 193 nm BARCs offers poor etch selectivity to the photoresist. As a result, image transfer with thin resists is becoming increasingly difficult. It is also more challenging to control reflectivity at high numerical apertures with a thin, single layer BARC. To address these issues, IBM has developed a new class of silicon containing BARCs. These materials exhibit high etch selectivity that will significantly improve the performance of high NA 193 nm lithography. The incorporation of silicon in the backbone of the polymers comprising these BARCS affords a high etch selectivity to conventional organic resists and therefore these polymers can be used as thick planarizing BARCs. The optical constants of these BARCs have been tuned to provide good reflectivity control at NA > 1.2 These materials can also be used as part of a dual layer BARC scheme composed of the thin organosilicon based BARC coated over a planarizing organic underlayer. This scheme has also been optically tuned to provide reflectivity suppression at high incident angles. By utilizing a thick BARC, a novel contact hole shrink process is enabled that allows tapering of the sidewall angle and controlling the post-etch critical dimension (CD) bias. Structures of the silicon containing polymer, formulation chemistry, optical tunability, lithography at high NA and RIE pattern transfer are reported.


23rd Annual International Symposium on Microlithography | 1998

Positive bilayer resists for 248- and 193-nm lithography

Ratnam Sooriyakumaran; Gregory M. Wallraff; Carl E. Larson; Debra Fenzel-Alexander; Richard A. Di Pietro; Juliann Opitz; Donald C. Hofer; Douglas C. LaTulip; John P. Simons; Karen Petrillo; Katherina Babich; Marie Angelopoulos; Qinghuang Lin; Ahmad D. Katnani

We have designed and developed new silicon containing methacrylate monomers that can be used in bilayer resist systems. New monomers were developed because the commercially available silicon monomers were found to be unsuitable for our applications. During the course of the investigation we determined that these monomers were acid labile. We have developed a high resolution DUV bilayer resist system based on these monomers. Although most of our work was concentrated on 248 nm lithography, we have demonstrated that this chemistry can be extended to 193 nm applications.


23rd Annual International Symposium on Microlithography | 1998

DUV stability of carbon films for attenuated phase-shift mask applications

Alessandro Callegari; Katherina Babich; Fuad E. Doany; F. Cardone; Sampath Purushothaman

The UV stability of a-C:H films was investigated by irradiating them with a 248 nm excimer laser or a DUV lamp with a 248 nm filter. Both transmittance and phase angle of the film at 248 nm were measured at different UV dose intervals up to a cumulative dose of 10,000 J/cm2. We found that film stability was dependent on processing conditions. Excellent stability was achieved when the a-C:H films were sputtered from a graphite target in a Ar/hydrocarbon process gas mixture with the substrate held at an rf bias. If no substrate bias was used, films were stable only up to a maximum of 1,000 J/cm2 for a nominal transmittance of about 6%. These instabilities were attributed to adsorption of oxygen on the film and its subsequent diffusion into the films. X-ray photoelectron spectroscopy (XPS) shows that under DUV irradiation the oxygen content increases from 13 to 29 at.% on the carbon surface. Secondary ion mass spectrometry (SIMS) shows also oxygen diffusion into the carbon film. It appears that the oxygen first removes the graphitic content since film transmittance was found to increase. It is believed that rf bias sputtering results in denser films that are more impervious to oxygen penetration and hence more durable to this UV assisted oxidative degradation process. The sputtered films with no substrate bias were found stable when irradiated in a nitrogen atmosphere.


23rd Annual International Symposium on Microlithography | 1998

Extension of 248-nm optical lithography: a thin film imaging approach

Qinghuang Lin; Ahmad D. Katnani; Timothy A. Brunner; Charlotte DeWan; Cindy Fairchok; Douglas Charles Latulipe; John P. Simons; Karen Petrillo; Katherina Babich; David E. Seeger; Marie Angelopoulos; Ratnam Sooriyakumaran; Gregory M. Wallraff; Donald C. Hofer

A negative-tone bilayer thin film imaged (TFI) resist has been developed for extension of 248 nm optical lithography to sub-150 nm regime. The bilayer TFI resist system consists of a thin (0.2 um) silicon containing top imaging layer and a thick (0.7 - 0.8 um) highly absorbing organic underlayer. The chemically amplified negative-tone top layer resist comprises of three major components: an aqueous base soluble silicon containing polymer, poly(hydroxybenzylsilsesquioxane); a crosslinking agent; and a photoacid generator. The highly absorptive underlayer is a hard baked novolak resist or a DUV ARC. Imaging of the top layer resist has shown resolutions down to 137.5 nm for line/space features and 130 nm for isolated features with 248 nm exposure tools and chrome on glass masks. The O2 reactive ion etch (RIE) selectively of the top layers versus a novolak underlayer is more than 25:1 as a result of the high silicon content in the silicon containing polymer. Furthermore, residue-free and nearly vertical wall profile image transfer to the underlayer has been achieved with RIE. Application of the negative-tone bilayer resist to 150 nm Gbit DRAM critical level lithography has been demonstrated. Resist line edge roughness is also discussed.


Journal of Materials Research | 2002

Effects of precursor additives on the stability of plasma enhanced chemical vapor deposited a-GeC(O):H films

Alfred Grill; S. Guilley; Vishnubhai Vitthalbhai Patel; Katherina Babich

Germanium- and carbon-based films were deposited by plasma-enhanced chemical vapor deposition from tetramethylgermane (TMGe) with additions of oxygen, hydrogen, or argon. The index of refraction, extinction coefficient, and optical gap and Fourier transform infrared spectra of the films were measured as well as their stability in regular ambiance. It was found that the films deposited from pure TMGe were stable in time only if deposited at a negative bias above -250 V direct current. Films deposited at a bias of -150 V direct current could be stabilized by significant additions of oxygen to the plasma and complete stabilization was achieved at O 2 /TMGe ratios larger than 3 in the gas feed when GeO x films containing small amounts of C and H were obtained. Additions of hydrogen or argon to TMGe had only slight effects in improving the stability off the films.


26th Annual International Symposium on Microlithography | 2001

Tunable antireflective coatings with built-in hard mask properties facilitating thin-resist processing

Arpan P. Mahorowala; Katherina Babich; Karen Petrillo; John P. Simons; Marie Angelopoulos; Vishnubhai Vitthalbhai Patel; Alfred Grill; Scott Halle; Richard A. Conti; Chunghsi J. Wu; Richard S. Wise; Linda Chen; Alan C. Thomas; B. Lee; Oliver Genz

Patterning sub-150 nm features in dielectric stacks using single layer resist processes in conjunction with organic anti-reflective coatings (ARCs) is becoming very difficult. Typical organic ARC-open etch processes suffer from poor ARC-to-resist selectivities (~0.7), and are accompanied by critical dimension (CD) losses. The resist remaining is often not sufficient to prevent artifacts such as substrate microrevicing during subsequent etches. PECVD-Deposited titanium nitride and silicon oxynitride films have been investigated as ARC layers but their basic nature has caused residue formation at the resist/ARC interface. We have developed a PECVD-deposited material, TERA (Tunable Etch-Resistant ARC) that acts as an ARC at 248 nm and 193 nm wavelengths and provides excellent etch selectivity to resist surpassing those attained with organic ARCs. In addition, this material demonstrates excellent hard mask properties for subsequent dielectric etch steps. The optical properties of these films can be easily tuned to minimize substrate reflectance at either imaging wavelength by controlling the precursor composition and deposition conditions. The films are compatible with 248 nm and 193 nm resists - no footing, undercut or residue is observed during patterning. The films can be etched selectively to resist (selectivity ~2.5) that translates to less resist consumption during th ARC-open etch. Compared to resists, TERA demonstrates better etch resistance while patterning dielectric stacks - the silicon oxide-to-TERA Selectivity exceeds 8. In this paper, the excellent optical tunability and substrate reflectivity control achieved with TERA are discussed. Clean lithography using 248 nm, 193 nm and e- beam resists is shown. The etch characteristics of TERA in fluorocarbon and halogen-based plasma chemistries are discussed. Finally, the formation of 135 nm and 120 nm deep trench patterns in thick dielectric stacks using TERA in conjunction with commercial 248 nm and 193 nm resists, respectively is demonstrated. The extendability of this approach to pattern silicon without roughening or microrevicing using sub-200 nm thick resists is motivated.


international electron devices meeting | 2003

A novel graded antireflective coating with built-in hardmask properties enabling 65nm and below CMOS device patterning

Katherina Babich; N. Fukiage; A. Mahorowala; S. Halle; T. Bunner; D. Pfeiffer; H. Mochiki; S. Ashigaki; A. Xia; M. Angelopoulos

Novel silicon carbide (Si:C:H) and silicon oxycarbide (Si:C:O:H) based materials, prepared by plasma-enhanced chemical vapor deposition (PECVD), have been developed with dual anti-reflective (ARC) and hardmask properties thus enabling the use of thin resists for high resolution device pattering. High quality 25 nm polysilicon gates and ultra-high aspect ratio (>65:1) 8 /spl mu/m deep trench (DT) features in Si have been fabricated with this ARC/hardmask technology.


26th Annual International Symposium on Microlithography | 2001

When is bilayer thin-film imaging suitable: comparison with single-layer resists

Scott Halle; Alan C. Thomas; Michael D. Armacost; Timothy J. Dalton; Xiaochun Chen; Scott J. Bukofsky; Oliver Genz; Zhijian G. Lu; Shahid Butt; Zheng Chen; Richard A. Ferguson; Eric M. Coker; Robert K. Leidy; Qinghuang Lin; Arpan P. Mahorowala; Katherina Babich; Karen Petrillo; Marie Angelopoulos; Mark Ignatowicz; Bang Bui

Silicon-containing bilayer thin-film imaging resists versus single layer resists for a variety of different mask types, from both a focus-expose window, etch selectivity, and process integration perspective are examined. Comparable lithographic performance is found for 248 nm single layer and bilayer resists for several mask levels including: a 135 nm dense contact/deep trench mask level, a 150 and 125 nm equal line space mask printed over trench topography, and dual damascene mask levels with both vias and line levels. The bilayer scheme is shown to significantly relax the dielectric to resist etch selectivity constraint for the case of a dense contact or trench hardmask level, where high aspect ratio dielectric features are required. Only a bilayer resist scheme in combination with a transfer etch process enables the line/space pattern transfer from the imaging layer to the bottom of a trench with a combined aspect ratio > 10. When the single layer resist depth of focus window is limited by both the topography and variations in the underlying dielectric stack thickness, as is the case for the dual damascene via and line levels, bilayer resist is shown to be a practical alternative.

Researchain Logo
Decentralizing Knowledge