Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kazuhiro Takahata is active.

Publication


Featured researches published by Kazuhiro Takahata.


Proceedings of SPIE | 2008

Patterning strategy and performance of 1.3NA tool for 32nm node lithography

Shoji Mimotogi; Masaki Satake; Yosuke Kitamura; Kazuhiro Takahata; Katsuyoshi Kodera; Hiroharu Fujise; Tatsuhiko Ema; Koutaro Sho; Kazutaka Ishigo; Takuya Kono; Masafumi Asano; Kenji Yoshida; Hideki Kanai; Suigen Kyoh; Hideaki Harakawa; Akiko Nomachi; Tatsuya Ishida; Katsura Miyashita; Soichi Inoue

We have designed the lithography process for 32nm node logic devices under the 1.3NA single exposure conditions. The simulation and experimental results indicate that the minimum pitches should be determined as 100nm for line pattern and 120nm for contact hole pattern, respectively. The isolated feature needs SRAF to pull up the DOF margin. High density SRAM cell with 0.15um2 area is clearly resolved across exposure and focus window. The 1.3NA scanner has sufficient focus and overlay stability. There is no immersion induced defects.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Patterning performance of hyper NA immersion lithography for 32nm node logic process

Kazuhiro Takahata; Masanari Kajiwara; Yosuke Kitamura; Tomoko Ojima; Masaki Satake; Hiroharu Fujise; Yuriko Seino; Tatsuhiko Ema; Manabu Takakuwa; Shinichiro Nakagawa; Takuya Kono; Masafumi Asano; Suigen Kyo; Akiko Nomachi; Hideaki Harakawa; Tatsuya Ishida; Shunsuke Hasegawa; Katsura Miyashita; Takashi Murakami; Seiji Nagahara; Kazuhiro Takeda; Shoji Mimotogi; Soichi Inoue

We have developed the lithography process for 32nm node logic devices under the 1.35NA single-exposure conditions. In low-k1 generation, we have to consider the minimum pitch resolution and two-dimensional pattern fidelity at the same time. Although strong RET (Resonance Enhancement Technique) can achieve the high image contrast, it has negative effects like line end shortening and resist pattern collapse. Moderate RET such as annular illumination can combine the minimum pitch resolution and two-dimensional pattern fidelity with hyper NA illumination condition. The simulation and experimental results indicate that the minimum pitches should be determined as 100nm for line pattern and 110nm for contact hole pattern, respectively. The isolated contact hole needs SRAF and focus drift exposure to improve DOF. Embedded SRAM cell of 0.125&mgr;m2 area is clearly resolved across exposure and focus window.


Proceedings of SPIE | 2011

Hotspot detection using image pattern recognition based on higher-order local auto-correlation

Shimon Maeda; Tetsuaki Matsunawa; Ryuji Ogawa; Hirotaka Ichikawa; Kazuhiro Takahata; Masahiro Miyairi; Toshiya Kotani; Shigeki Nojima; Satoshi Tanaka; Kei Nakagawa; Tamaki Saito; Shoji Mimotogi; Soichi Inoue; Hirokazu Nosato; Hidenori Sakanashi; Takumi Kobayashi; Masahiro Murakawa; Tetsuya Higuchi; Eiichi Takahashi; Nobuyuki Otsu

Below 40nm design node, systematic variation due to lithography must be taken into consideration during the early stage of design. So far, litho-aware design using lithography simulation models has been widely applied to assure that designs are printed on silicon without any error. However, the lithography simulation approach is very time consuming, and under time-to-market pressure, repetitive redesign by this approach may result in the missing of the market window. This paper proposes a fast hotspot detection support method by flexible and intelligent vision system image pattern recognition based on Higher-Order Local Autocorrelation. Our method learns the geometrical properties of the given design data without any defects as normal patterns, and automatically detects the design patterns with hotspots from the test data as abnormal patterns. The Higher-Order Local Autocorrelation method can extract features from the graphic image of design pattern, and computational cost of the extraction is constant regardless of the number of design pattern polygons. This approach can reduce turnaround time (TAT) dramatically only on 1CPU, compared with the conventional simulation-based approach, and by distributed processing, this has proven to deliver linear scalability with each additional CPU.


Proceedings of SPIE | 2012

Novel prediction methodology for etched hole patterning failure

Seiro Miyoshi; Hideaki Abe; Kazuhiro Takahata; Tomoko Ojima; Masanari Kajiwara; Shoji Mimotogi; Kohji Hashimoto

We have created a model that uses discriminant function analysis to predict failures in etched hole patterning of the type that induces an open-contact failure by using critical dimension scanning electron microscope (CDSEM) measurement values of after-development resist hole patterning. The input variables of the best model were found to be the resist hole CD, the difference in resist hole CD between that of the 50% secondary electron (SE) threshold and that of the 20% SE threshold, and ellipticity. The model indicates that a tapered resist profile is one of the main causes of the open-contact failure in etched hole patterning. The model is applicable not only to lithography process optimization but also to lithography process control, where the focus center of optical exposure at resist patterning is determined not only from the perspective of resist CD but also from the perspective of suppressing the failures of etched hole patterning.


Proceedings of SPIE | 2009

Feasibility of Ultra-Low k1 Lithography for 28nm CMOS Node

Shoji Mimotogi; Kazuhiro Takahata; Takashi Murakami; Seiji Nagahara; Kazuhiro Takeda; Masaki Satake; Yosuke Kitamura; Tomoko Ojima; Hiroharu Fujise; Yuriko Seino; Tatsuhiko Ema; Hiroki Yonemitsu; Manabu Takakuwa; Shinichiro Nakagawa; Takuya Kono; Masafumi Asano; Suigen Kyoh; Hideaki Harakawa; Akiko Nomachi; Tatsuya Ishida; Shunsuke Hasegawa; Katsura Miyashita; Makoto Tominaga; Soichi Inoue

We have designed the lithography process for 28nm node logic devices using 1.35NA scanner. In the 28nm node, we face on the ultra-low k1 lithography in which dense pattern is affected by the mask topography effect and the oblique-incidence. Using the rigorous lithography simulation considering the electro-magnetic field, we have estimated accurately the feasibility of resolution of the minimum pitch required in 28nm node. The optimum mask plate and illumination conditions have been decided by simulation. The experimental results for 28nm node show that the minimum pitch patterns and minimum SRAM cell are clearly resolved by single exposure.


Proceedings of SPIE | 2009

Resist process control for 32-nm logic node and beyond with NA > 1.30 immersion exposure tool

Seiji Nagahara; Kazuhiro Takahata; Seiji Nakagawa; Takashi Murakami; Kazuhiro Takeda; Shinpei Nakamura; Makoto Ueki; Masaki Satake; Tatsuhiko Ema; Hiroharu Fujise; Hiroki Yonemitsu; Yuriko Seino; Shinichiro Nakagawa; Masafumi Asano; Yosuke Kitamura; Takayuki Uchiyama; Shoji Mimotogi; Makoto Tominaga

Resist process challenges for 32-nm node and beyond are discussed in this paper. For line and space (L/S) logic patterns, we examine ways to balance the requirements of resolution-enhancement techniques (RETs). In 32-nm node logic patterning, two-dimensional (2D) layout pattern deformation becomes more severe with stronger RET (e.g., narrow angle CQUAD illumination). Also pattern collapse more frequently happens in 2D-pattern layouts when stronger RET is used. In contrast, milder RET (annular illumination) does not induce the severe pattern collapse in 2D-pattern layout. For 2D-pattern layouts, stronger RET seems to worsen image contrast and results in high background-light in the resist pattern, which induces more pattern collapse. For the minimum-pitch L/S pattern in 32-nm node logic, annular illumination is acceptable for patterning with NA1.35 scanner when high contrast resist is used. For contact/via patterns, it is necessary to expand the overlapping CD process window. Better process margin is realized through the combination of hole-shrink technique and precise acid-diffusion control in an ArF chemically amplified resist.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Impact of patterning strategy on mask fabrication beyond 32nm

Shoji Mimotogi; Tomotaka Higaki; Hideki Kanai; Satoshi Tanaka; Masaki Satake; Yosuke Kitamura; Katsuyoshi Kodera; Kazutaka Ishigo; Takuya Kono; Masafumi Asano; Kazuhiro Takahata; Soichi Inoue

Mask specifications of the pitch splitting type double patterning for 22nm node and beyond in logic devices have been discussed. The influences of the mask CD error and the mask induced overlay error on wafer CD have been investigated in both cases of bright field and dark filed. The specification for intra-layer overlay alignment is much smaller than inter-layer one. The specification of mask CD uniformity for dark is more challenging. In order to overcome the technology gap between single patterning and double patterning, many things will have to be improved.


Optical Microlithography XVIII | 2005

Optical lithography technologies for 45-nm node CMOS

Shoji Mimotogi; Fumikatsu Uesawa; Suigen Kyoh; Hiroharu Fujise; Eishi Shiobara; Mikio Katsumata; Hiroki Hane; Tomohiro Sugiyama; Koutaro Sho; Maki Miyazaki; Kazuhiro Takahata; Hideki Kanai; Kazuya Sato; Kohji Hashimoto

In 45nm-node CMOS, the k1 value is around 0.35. In the low-k1 lithography, the robust design for lens aberration and process fluctuation such as mask CD error is required for manufacturing. The technologies of robust design for 45nm-node CMOS are proposed. The alternating phase shift mask has been applied to obtain high accurate CD controllability for gate level. Since the sensitivity to lens aberration is high, design rule is restricted. Immersion lithography with hyper NA over 1.0 is necessary for contact hole level to get large DOF margin. Since the mask enhanced error factor is large, high accurate CD uniformity on mask is necessary. Using hyper NA immersion tool, high density SRAM whose area is 0.25um2 can be clearly resolved.


symposium on vlsi technology | 2003

ArF lithography technologies for 65 nm-node CMOS (CMOS5) with 30 nm logic gate and high density embedded memories

Kohji Hashimoto; Fumikatsu Uesawa; Kazuhiro Takahata; Koji Kikuchi; Hideki Kanai; Hideo Shimizu; Eishi Shiobara; Koichi Takeuchi; Ayako Endo; Hideaki Harakawa; Shoji Mimotogi

In this paper ArF lithography technology for 65nm-node CMOS with 30nm logic gate and high density embedded memories have been demonstrated. ArF step-and-scan exposure systems with 0.75NA are available under accurate lithography design with level specific focus and does error budgets. Also,the process steps with two kinds of lithography are implemented to fabricate GC pattern.


Archive | 2000

Method for correcting mask pattern, device for correcting mask pattern, recording medium storing mask pattern correcting program, and method for manufacturing semiconductor device

Koji Hashimoto; Kazuhiro Takahata; 耕治 橋本; 和宏 高畑

Collaboration


Dive into the Kazuhiro Takahata's collaboration.

Researchain Logo
Decentralizing Knowledge