Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kiyoshi Fujii is active.

Publication


Featured researches published by Kiyoshi Fujii.


Proceedings of SPIE, the International Society for Optical Engineering | 2005

Full-field exposure tools for ArF immersion lithography

Jeung-woo Lee; Akihiko Otoguro; Toshiro Itani; Kiyoshi Fujii; Kenichi Shiraishi; Tomoharu Fujiwara; Yuki Ishii

Immersion lithography has by far satisfied most expectations regarding its feasibility as the next lithographic technique for the 65-nm node and below. To further advance 193-nm immersion lithography, a means of efficiently controlling water as an immersion fluid and research and development concerning resist processes are necessary. In 2004, Nikon Corporation introduced a 0.85 numerical aperture (NA) 193-nm immersion exposure tool that uses water as the immersion liquid. This engineering evaluation tool (EET) is equipped with a highly efficient temperaturestabilized water nozzle assembly. Selete Inc. in collaboration with Nikon Corporation has been evaluating the performance and various characteristics of the EET while also investigating various photoresist and topcoat processes. We selected three types of standard immersion processes that offered the best performance for our evaluation purposes. A resolution limit of 70-nm half-pitch line-and-space (L/S) patterns has been confirmed. A 0.8-μm depth of focus (DOF) was also verified for an 80-nm half-pitch L/S pattern. In addition, full wafer (WF) critical dimension (CD) uniformity of less than 5 nm (3 sigma) has been demonstrated for a 90-nm half-pitch L/S pattern on a 300-mm wafer (WF). After the implementation of various improvements to both the EET and the topcoat/resist processes, we have achieved a total defect density of 0.23/cm2, and this defect level is low enough for pilot production.


Journal of Vacuum Science & Technology B | 1998

130 nm and 150 nm line-and-space critical-dimension control evaluation using XS-1 x-ray stepper

Yuusuke Tanaka; Takao Taguchi; Kiyoshi Fujii; Shinji Tsuboi; M. Yamabe; Katsumi Suzuki; Yoshio Gomei; Tokushige Hisatsugu; M. Fukuda; H. Morita

Critical-dimension (CD) control for 130 and 150 nm line-and-space (L/S) patterns printed with the XS-1 x-ray stepper was evaluated using two kinds of resists: SAL606 and TDUR-N908. The largest factor in the CD variation was the nonuniformity of the x-ray dose, which was ±4.4% in a 20 mm×20 mm field. In replicated resist patterns, the CD variation due to mask-CD variation dropped to less than half the mask-CD variation because of Fresnel diffraction. For 130 nm L/S patterns, the CD variation for an 8-in.-diam wafer was 7.9 nm (3σ) for SAL606, and 12.0 nm (3σ) for TDUR-N908. For 150 nm L/S patterns, the values were 10.5 nm (3σ) and 14.6 nm (3σ), respectively. Although the major factors causing CD variation are dose nonuniformity and mask-CD variation, different resist materials reflect the effects of these factors to different degrees. The CD variation of 150 nm L/S patterns among seven wafers exposed on the same day was ±2.8 nm for SAL606 and ±3.7 nm for TDUR-N908, which is most likely due to fluctuations ...


Advances in Resist Technology and Processing XXI | 2004

The dissolution behavior of tetrafluoroethylene-based fluoropolymers for 157-nm resist materials

Takuji Ishikawa; Tetsuhiro Kodani; Meiten Koh; Tsukasa Moriya; Takayuki Araki; Hirokazu Aoyama; Tsuneo Yamashita; M. Toriumi; Takuya Hagiwara; Takamitsu Furukawa; Toshiro Itani; Kiyoshi Fujii

Main-chain-fluorinated base-resins, using the copolymer of tetrafluoroethylene and functional (hexafluoroisopropanol (HFA) group) norbornene, were synthesized. Partial protection of its hydroxyl group as ethoxymethyl group was achieved by two methods, by copolymerization (Method A) or by polymer reaction (Method B). The partial protection by copolymerization was conducted by copolymerizing TFE with the mixture of protected and unprotected monomers (Method A, copolymerization). The partial protection was also carried out by reacting hydroxyl group of the polymer, which is composed of TFE and unprotected monomers with ethoxymethyl chloride in the presence of an amine (Method B). In the polymer reaction, only exo position of the norbornene unit was protected. Their fundamental properties, such as transparency at 157 nm and solubility in a standard alkaline developer, were characterized and studied. A high transparency, i.e., absorbance of less than 0.4 μm-1, was achieved in both methods. However, the polymer prepared by the polymer reaction (Method B) was deprotected more quickly. And this polymer had a higher dissolution rate and development contrast than the polymer prepared by copolymerization (Method A). The Positive-working resists based on this fluororesins were developed and 55 nm dense lines could be delineated by the exposure at 157 nm wavelength with alternating phase shift mask on a 0.9 NA 157 nm exposure tool.


Advances in Resist Technology and Processing XXI | 2004

Characterization of TFE/norbornene-based fluoropolymer resist for 157-nm lithography

Takuya Hagiwara; Takamitsu Furukawa; Toshiro Itani; Kiyoshi Fujii; Takuji Ishikawa; Meiten Koh; Tetsuhiro Kodani; Tsukasa Moriya; Tsuneo Yamashita; Takayuki Araki; M. Toriumi; Hirokazu Aoyama

Fluoropolymers are key materials in the single-layer resists used in 157-nm lithography. We have been studying fluoropolymers to determine their potential use as base resins. These polymers are main-chain fluorinated polymers synthesized by co-polymerizing tetrafluoroethylene (TFE) and functional norbornene. We developed a new polymer that is highly transparent and has high dry-etching resistance by attaching a PG-F protecting group, which has high dry-etching resistance, to a TFE/norbornene-based fluorinated polymer. The dry-etching rate for the 15 % blocked polymer was 1.50 times that of a KrF resist and its absorption coefficient at a 157-nm-exposure wavelength was 1.06 /μm. We introduced various photoacid generators (PAGs) to the polymer, and compared lithographic performance. As a result, we found polymer with a triphenylsulfonium-salts-based PAG had a good pattern profile, and polymer with a high-acidity PAG resolved a fine pattern. In particular, polymer with a triphenylsulfonium perfluorooctane sulfonate PAG was able to resolve a 60-nm line and space pattern. We then added various quenchers to the polymer and the PAG, and compared pattern profiles. We found that the use of a high-basicity quencher improved the resolution of the resist and line edge roughness. Consequently, that the polymer with the triphenylsulfonium perfluorooctane sulfonate PAG and tributylamine quencher could resolve a 55-nm line and space pattern. These results provided guidelines for choosing the PAG and quencher for this polymer.


Japanese Journal of Applied Physics | 2003

Estimation of Optimum Electron-Beam Projection Lithography Mask Biases Taking Coulomb Beam Blur into Consideration

Hideo Kobinata; Yasuhisa Yamada; Takao Tamura; Kiyoshi Fujii; Mitsuru Narihiro; Yukinori Ochiai

In electron-beam projection lithography, a large field is exposed by one dose, and the mask biasing has been proven to be one of the most suitable methods for proximity effect correction. In this study, we obtained the exposure intensity distribution (EID) function through an exposure experiment with a 100 kV point beam exposure tool and expressed it as the sum of seven Gaussian functions. We calculated the exposure dose distribution in the line-and-space and isolated-line patterns as convolutions of the 7-Gaussian EID function and mask patterns, and the predicted line widths agreed well with the experimental results. The range of mask biases determined using the 7-Gaussian EID function for the gate and metal interconnect layers of 65-nm-node ASIC devices were ±22 nm and ±43 nm, respectively.


Journal of Vacuum Science & Technology B | 2001

Evaluation of new x-ray stepper, the XRA

Hiroaki Sumitani; Muneyoshi Suita; Soichiro Mitsui; Hajime Aoyama; Kiyoshi Fujii; Hiroshi Watanabe; Takao Taguchi; Yasuji Matsui

The exposure performance has been evaluated for the new x-ray stepper, the XRA, which is equipped with global alignment and magnification correction systems. Dose uniformity in the exposure field of 3.9%, stage accuracy of less than 20 nm, and good linearity and stability between the magnification change and applied force were obtained. For the 100 nm node, both critical dimension (CD) control of 10 nm and overlay accuracy of less than 30 nm were obtained using two 4 Gbit masks of the gate and contact hole by the double exposure method. Possible improvements of the dose uniformity and mask pattern CD will result in more accurate CD control. By compensating for the alignment offset, overlay accuracy of 23 nm for the 70 nm node is also expected.


Journal of Vacuum Science & Technology B | 1999

Critical-dimension control for 100 nm patterns in x-ray lithography

Yuusuke Tanaka; Toshiyuki Iwamoto; Kiyoshi Fujii; Yukiko Kikuchi; Yasuji Matsui; Makoto Fukuda; Hirofumi Morita

We investigated the printing characteristics of 100 nm line-and-space (L/S) and isolated-line patterns by using TDUR-N908 negative-tone resist, and analyzed the causes of their critical-dimension (CD) variation. For L/S patterns, a positive mask bias improves the dose profile, thereby enlarging the exposure latitude and reducing the linewidth variation of replicated resist patterns. The resist linewidths of L/S and isolated-line patterns at gaps of 10 and 15 μm were within ±10% of the mask linewidth over a wide range of pattern sizes down to 100 nm. The CD variation over the exposure field (24×24 mm) was as small as 8.6 nm (3σ) for L/S and 7.7 nm (3σ) for isolated-line patterns. After the removal of the effects of mask-CD variation and dose nonuniformity from the intrafield CD variation, a systematic CD variation remained for both types of patterns. The cause might be the nonuniformity over the exposure field of the absorber thickness, the x-ray wavelength, and/or the proximity gap.


Journal of Vacuum Science & Technology B | 1988

Development of highly reliable synchrotron radiation lithography beamline

K. Okada; Kiyoshi Fujii; Y. Kawase; M. Nagano

The reliable beamline structure for synchrotron radiation lithography has been investigated using the Photon Factory storage ring (2.5 GeV). The recently built beamline aims at attaining system reliability and safety. This beamline, one of three branch lines split from a basic beamline, is a 10−7 Pa ultrahigh‐vacuum system with an oscillating mirror. In addition to a 40 ms fast closing valve (FCV) and an acoustic delay line (ADL), installed in the basic beamline, a <15 ms FCV and 40 ms ADL were set up to protect the storage ring from accidental breakdown. The FCV and ADL were placed far upstream of the oscillating mirror, to cope with accidental gas leakage caused by the oscillating mechanism. A vacuum breakdown test demonstrated that the FCV and ADL are greatly effective in vacuum protection. In order to protect operators from x‐ray exposure, two auxiliary shutters made of tantalum were placed upstream of the oscillating mirror. The oscillating mirror, driven through bellows by a combination of a direct ...


international microprocesses and nanotechnology conference | 2000

Capability of 70 nm pattern replication in x-ray lithography

Yukiko Kikuchi; M. Hasegawa; T. Iwamoto; Kiyoshi Fujii; Yasuji Matsui

It has been shown that the Proximity X-ray Lithography (PXL) is the most mature technology among Next Generation Lithography (NGL) options at 100 nm node. As the basic resolution of PXL is defined by the Fresnel diffraction, sub-100 nm patterns are resolved if only the Mask-to-Wafer gap is set properly. Resolution of 65 nm L/S patterns with a gap of 10 /spl mu/m was demonstrated. Recently, the limit of optical lithography is expected to extend to deep sub-100 nm using the F/sub 2/ laser lithography. However the advantage of PXL to F/sub 2/ at 70 nm node was shown in calculation. In this study some experimental results for 70-nm node pattern replication are shown and discussed.


Journal of Vacuum Science & Technology B | 1988

An x‐ray stepper for synchrotron radiation lithography

Eiichi Kouno; Yoshiharu Tanaka; Joji Iwata; Yoshiyuki Tasaki; Emi Kakimoto; Koichi Okada; Katsumi Suzuki; Kiyoshi Fujii; Eiichi Nomura

A prototype synchrotron radiation (SR) stepper for quarter‐micron devices has been developed and installed at the Photon Factory in the National Laboratory for High Energy Physics, Japan. The stepper features are, (i) exposure in an atmospheric environment, (ii) large exposure area (25‐mm sq), and (iii) alignment error detection at all times, including during exposure. The stepper consists of an SR extracting chamber, precision mechanical stages, and an alignment error detection system. An SR beam in UHV goes through a beryllium window into an atmospheric environment, and covers the 25‐mm sq exposure area by using an oscillating mirror. Patterns on a mask are imprinted onto a wafer. Mask and wafer are, respectively, held in place with vacuum chucks. Their subsequent positioning movements are driven in 6 degrees of freedom by piezoelectric actuators for fine alignment and gap setting. The alignment system, based on the previous Fresnel lens optical system, newly employs a differential mode linear Fresnel zone plate alignment method. As the optical system for this method is located at the outside of an SR beam, it can detect an alignment error between a mask and a wafer at all times, including during exposure. Patterns measuring 0.2 μm were completely successfully imprinted on a wafer. Until now, 0.03‐μm (3σ) positioning accuracy and 0.2‐μm (3σ) overlay accuracy were achieved.

Collaboration


Dive into the Kiyoshi Fujii's collaboration.

Researchain Logo
Decentralizing Knowledge