Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jun Takamatsu is active.

Publication


Featured researches published by Jun Takamatsu.


Journal of Vacuum Science & Technology B | 1999

Reduction of long range fogging effect in a high acceleration voltage electron beam mask writing system

Munehiro Ogasawara; Naoharu Shimomura; Jun Takamatsu; Shusuke Yoshitake; Kenji Ooki; Noriaki Nakayamada; Humiyuki Okabe; Toru Tojo; Tadahiro Takigawa

We have developed an antireflecting plate with a novel structure to reduce the long-range fogging effect, which is especially serious in mask writing by a high acceleration voltage electron beam mask writing system. This structure is characterized by an array of holes whose axes converge to the beam irradiation position. These holes can efficiently absorb the electrons scattered at a reticle by avoiding the collision of the electrons with the inner walls. The reflectance of this structure is about 30% of that of the plane structure for 30 keV electrons, whereas that of a parallel hole array structure is about 40%. The fogging effect was evaluated in a high accelerating voltage (50 kV) electron beam mask writing system equipped with this new antireflecting plate, and nm-level global uniformity in pattern size was achieved.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Reduction of fogging effect caused by scattered electrons in an electron beam system

Naoharu Shimomura; Munehiro Ogasawara; Jun Takamatsu; Shusuke Yoshitake; Kenji Ooki; Noriaki Nakayamada; Fumiyuki Okabe; Toru Tojo

Background exposure of a resist caused by scattered electrons (the fogging effect) degrades critical dimension accuracy when the pattern density changes over the specimen. We measured the fogging effect in an electron beam optical column. In order to reduce the fogging effect, a scattered electron absorber plate having a converging holes structure was attached to the lower surface of the objective lens. When the most severe pattern for the fogging effect was applied, we achieved the size variation caused by the fogging effect less than 8 nm. The converging holes effectively trap the scattered electrons and greatly reduce the fogging effect.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Key improvement Schemes of Accuracies in EB Mask Writing for Double Patterning Lithography

Hitoshi Sunaoshi; Takashi Kamikubo; Rieko Nishimura; Kaoru Tsuruta; Takehiko Katsumata; Takayuki Ohnishi; Hirohito Anze; Jun Takamatsu; Shusuke Yoshitake; Shuichi Tamamushi

Double pattering or exposure methodologies are being adopted to extend 193nm optical lithography. These methodologies require much tighter image-placement accuracy and Critical Dimension (CD) controls on mask than the conventional single exposure technique. Our experiments indicate that the global image placement drift induced by the time elapsed in mask writing is the dominant factor that degrades image-placement accuracy. In-situ grid measurement method is being proposed to suppress this time dependent drift. Resist charging effect is also an important error factor. While it can be reduced by charge dissipation layer (CDL), further feasibility study is required for using CDL to overcome certain side-effects pertaining to CDL. High dose resist improves local CD uniformity and pattern fidelity. However, mask writing time becomes longer with lower sensitivity. To satisfy conflicting issues, throughput and CD uniformity, high sensitivity CAR which has short acid diffusion length is desirable. Shortening acid diffusion length is essential for achieving good pattern resolution as well as good CD uniformity. This paper will address the results of error source analyses and key schemes of accuracy improvements in photo-mask manufacturing using NuFlare Technologys EB mask writers.


Emerging Lithographic Technologies IV | 2000

Beam-monitoring system using microapertures for electron-beam lithography

Jun Takamatsu; Naoharu Shimomura; Hitoshi Sunaoshi; Kiyoshi Hattori; Munehiro Ogasawara; Tetsuro Nakasugi

A new beam-monitoring system for electron-beam lithography is proposed, which can be used for the variably shaped beam (VSB) method, the character projection (CP) method and the electron- beam mask (EB mask) projection method. The system is composed of micro-apertures and a detector placed below the micro- aperture, which is installed at a focal plane of a mask writer. The micro-apertures are formed on a 1-micrometer-thick Si film on which two 200-nm-thick W layers are deposited. A shaped beam is scanning over the micro-aperture, and the electrons that pass through the micro-aperture are directly detected with the detector, so that the two-dimensional shape and size of the beam are measured. The contrast and the signal-to-noise ratio obtained by this system are greatly superior to those obtained by the conventional mark-scanning method.


international microprocesses and nanotechnology conference | 1997

Fabrication of micro-marks for electron-beam lithography

Jun Takamatsu; Tetsuro Nakasugi; Yoshimitsu Kato; Naoharu Shimomura; Hitoshi Sunaoshi; Kiyoshi Hattori; Kazuaki Nakajima; Kazuyoshi Sugihara; Tadahiro Takigawa

We have developed micro-marks to be used for precise adjustment of the electron optics in electron-beam writers. The micro-marks fabricated are the dot-mark of W on a Si substrate, the hole-mark on a W layer, and the hole-mark on a Au layer. With these marks, it is possible to precisely measure the edge resolution as well as the two-dimensional shape and size in the variably shaped beam (VSB) and the character projection (CP) lithography. Measuring the edge resolution of shaped beam in 50 keV electron-beam writer with these marks, we found that the hole-mark on a W layer gave the best resolution and contrast. These results show that these marks are applicable to lithography of 0.15 µm or below.


20th Annual BACUS Symposium on Photomask Technology | 2001

Eddy current evaluation for a high-resolution EB system

Naoharu Shimomura; Munehiro Ogasawara; Kiyoshi Hattori; Jun Takamatsu; Hitoshi Sunaoshi; Shusuke Yoshitake; Yuuji Fukudome; Kiminobu Akeno

A semi-in-lens electron beam (EB) optical system improves the beam resolution. However, the eddy current is induced in the target and deviates the beam position when the stage is moving continuously. We calculated the eddy current distribution by approximating the magnetic field on the target to a Gaussian distribution. In the mask-scan EB column1 the maximum value and the dispersion of the magnetic field on the target are 0.01 T and 30 mm, respectively. The beam shift due to the eddy current flowing in the Cr film on a reticle is 1.5 X 10-11 m at the stage speed of 0.1 m/s. Therefore, the eddy current does not degrade the positional accuracy.


Photomask and next-generation lithography mask technology. Conference | 2000

Optical column of the mask-scan EB mask writer test stand

Naoharu Shimomura; Munehiro Ogasawara; Jun Takamatsu; Hitoshi Sunaoshi; Kiyoshi Hattori; Shusuke Yoshitake; Yuuji Fukudome; Kiminobu Akeno

A deficiency in throughput is one of the main problems for the post-100 nm generation mask writer. Mask-scan writing technology is one of the methods for increasing in the throughput. A large pattern is projected by scanning the electron beam over the mask pattern. We have developed a low aberration optical column to prove the concept of the mask- scan technology. We obtained the EB mask pattern image by scanning the electron beam over the mask. We confirmed the capability of the astigmatism correction by the bias voltage superposed on the main field deflectors.


SPIE's International Symposium on Optical Science, Engineering, and Instrumentation | 1999

In-situ beam position monitoring system for electron-beam lithography

Hitoshi Sunaoshi; Munehiro Ogasawara; Jun Takamatsu; Naoharu Shimomura

Novel beam monitoring methods for electron beam lithography systems were studied. In order to achieve high patterning accuracy, precise control of the beam position and of the beam exposure time is important. In conventional electron beam writing system, the written patterns are measured in order to evaluate the accuracy of the writing system. In this paper, two in-situ beam monitoring methods are proposed. One is the beam position monitoring method using a magnification lens and a microchannel plate (MCP) with a CCD camera. The beam image data projected on the MCP were observed using the prototype electron optical system. The beam position could be calculated by an image processing method. Also the simulation result of the conceptual in-situ beam monitoring system was shown. The other one is the beam blanking response measurement method using a fast MCP which has good pulse resolution and a fast response. The MCP output of pulse waveforms correlated with the beam blanking signal were observed with a good time resolution.


Japanese Journal of Applied Physics | 1996

Fabrication process of character projection mask for EB lithography

Jun Takamatsu; Toru Koike; Yoshiyuki Kato; Hitoshi Sunaoshi; Kiyoshi Hattori; Kazuyoshi Sugihara; Tadahiro Takigawa

A new structure for a character projection (CP) mask has been developed for the electron-beam (EB) lithography system, EX-8D. The mask comprises an electroplated Au membrane on which apertures are formed and a (110) oriented Si substrate. The Au membrane is 4 μm thick, which is thick enough to stop 50 keV electrons. The aspect ratio of the Au aperture is much less than that of the conventional Si aperture, so that the size of the apertures can be well controlled. By a repair process using a focused ion beam (FIB), the edge roughness and the curvature radius at the corner of the aperture are reduced to less than 0.2 μm. Since the demagnification ratio of EX-8D is 1/36, these errors are 0.006 μm on the wafer and sufficiently small for 0.15 μm lithography. On the CP mask, we arranged a 7 x 7 array of apertures in a 1650 x 1650 μm 2 area with a small amount of dead space. This arrangement can be realized by the use of a Si (110) substrate on which back-etched holes with ve rtical sidewalls were formed. In addition, this CP mask has sufficient mechanical rigidity and heat conductivity, because the aperture membrane is supported by Si struts.


21st Annual BACUS Symposium on Photomask Technology | 2002

Stage tracking of a mask-scan EB mask writer test stand

Shinsuke Nishimura; Soichiro Mitsui; Munehiro Ogasawara; Kiminobu Akeno; Mitsuko Shimizu; Hideo Kusakabe; Hirotsugu Wada; Kiyoshi Hattori; Shusuke Yoshitake; Naoharu Shimomura; Jun Takamatsu; Hitoshi Sunaoshi; Yuuji Fukudome; Toru Tojo; Seiichi Tsuchiya

A stage tracking function has been developed for a mask-scan EB mask writer. Position error of EB mask on an EB-mask-stage induces position error of projection beam on the EB-mask and the position of a writing pattern. The position of the EB-mask is measured by a laser interferometer. The shift from the aimed position is fed back to a mask selection deflection and a main deflection. The velocity of EB-mask stage and specimen-stage is also fed back to the deflection. The deflection control unit for the stage tracking has been made and the tracking function confirmed from the test memory of the unit. Using the unit, scanning writing patterns have been obtained with step and repeat stage mode.

Collaboration


Dive into the Jun Takamatsu's collaboration.

Researchain Logo
Decentralizing Knowledge