Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shusuke Yoshitake is active.

Publication


Featured researches published by Shusuke Yoshitake.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Advanced electron-beam writing system EX-11 for next-generation mask fabrication

Toru Tojo; Ryoji Yoshikawa; Yoji Ogawa; Shuichi Tamamushi; Yoshiaki Hattori; Souji Koikari; Hideo Kusakabe; Takayuki Abe; Munehiro Ogasawara; Kiminobu Akeno; Hirohito Anze; Kiyoshi Hattori; Ryoichi Hirano; Shusuke Yoshitake; Tomohiro Iijima; Kenji Ohtoshi; Kazuto Matsuki; Naoharu Shimomura; Noboru Yamada; Hitoshi Higurashi; Noriaki Nakayamada; Yuuji Fukudome; Shigehiro Hara; Eiji Murakami; Takashi Kamikubo; Yasuo Suzuki; Susumu Oogi; Mitsuko Shimizu; Shinsuke Nishimura; Hideyuki Tsurumaki

Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.


Journal of Vacuum Science & Technology B | 1999

Reduction of long range fogging effect in a high acceleration voltage electron beam mask writing system

Munehiro Ogasawara; Naoharu Shimomura; Jun Takamatsu; Shusuke Yoshitake; Kenji Ooki; Noriaki Nakayamada; Humiyuki Okabe; Toru Tojo; Tadahiro Takigawa

We have developed an antireflecting plate with a novel structure to reduce the long-range fogging effect, which is especially serious in mask writing by a high acceleration voltage electron beam mask writing system. This structure is characterized by an array of holes whose axes converge to the beam irradiation position. These holes can efficiently absorb the electrons scattered at a reticle by avoiding the collision of the electrons with the inner walls. The reflectance of this structure is about 30% of that of the plane structure for 30 keV electrons, whereas that of a parallel hole array structure is about 40%. The fogging effect was evaluated in a high accelerating voltage (50 kV) electron beam mask writing system equipped with this new antireflecting plate, and nm-level global uniformity in pattern size was achieved.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

The development of full field high resolution imprint templates

Shusuke Yoshitake; Hitoshi Sunaoshi; Kenichi Yasui; Hideo Kobayashi; Takashi Sato; Osamu Nagarekawa; Ecron Thompson; Gerard M. Schmid; Douglas J. Resnick

Critical to the success of imprint lithography and Step and Flash Imprint Lithography (S-FIL®) in particular is the manufacturing 1X templates. Several commercial mask shops now accept orders for 1X templates. Recently, there have been several publications addressing the fabrication of templates with 32nm and sub 32nm half pitch dimensions using high resolution Gaussian beam pattern generators. Currently, these systems are very useful for unit process development and device prototyping. In this paper, we address the progress made towards full field templates suitable for the fabrication of CMOS circuits. The starting photoplate consisted of a Cr hard mask (≤ 15nm) followed by a thin imaging layer of ZEP 520A. The EBM-5000 and the EBM-6000 variable shape beam pattern generators from NuFlare Technology were used to pattern the images on the substrates. Several key specifications of the EBM-6000, resulting in improved performance over the EBM-5000 include higher current density (70 A/cm2), astigmatism correction in the subfields, optimized variable stage speed control, and improved data handling to increase the maximum shot count limitation. To fabricate the template, the patterned resist serves as an etch mask for the thin Cr film. The Cr, in turn, is used as an etch block for the fused silica. A mesa is formed by etching the non-active areas using a wet buffered oxide etch (BOE) solution. The final step in the template process is a dice and polish step used to separate the plate into four distinct templates. Key steps in the fabrication process include the imaging and pattern processes. ZEP520A was chosen as the e-beam resist for its ability to resolve high resolution images. This paper documents the resolution and image placement capability with the processes described above. Although ZEP520A is slow relative to chemically amplified e-beam resists, it is only necessary to pattern 1/16th the area relative to a 4X reduction mask. Write time calculations for 1X templates have also been performed, and are compared to 4X photomasks.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

New mask blank handling system for the advanced electron-beam writer

Shusuke Yoshitake; Kenji Ooki; Ryoichi Hirano; Toru Tojo; Yoji Ogawa; Katsuhito Ogura; Teruaki Yamamoto; Masaki Toriumi; Yoshiaki Tada

Meeting the latest requirements of aggressive users for advanced masks for optical lithography will be difficult. In addition, improving the productivity and throughput of advanced masks with high-density pattern data is necessary. To overcome these hurdles, Toshiba and Toshiba Machine have developed a new advanced mask writer, the EX-11, shown in Figure 1. The EX-11 takes measures against airborne contamination before drawing is started. It also employs a standard mechanical interface (SMIF) based on the concept of local cleaning technology. This paper describes the design concept of the new mask blank handling system for the EX-11, and the efficiency of these measures was confirmed by the experimental results.


Journal of Micro-nanolithography Mems and Moems | 2017

RESCAN: an actinic lensless microscope for defect inspection of EUV reticles

Iacopo Mochi; Patrick Helfenstein; Istvan Mohacsi; Rajendran Rajeev; Dimitrios Kazazis; Shusuke Yoshitake; Yasin Ekinci

Abstract. Actinic mask defect inspection is an essential process step for the implementation of extreme ultraviolet (EUV) lithography in high-volume manufacturing. The main challenges for any mask defect inspection platform are resolution, sensitivity, and throughput. The reflective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic patterned mask inspection capabilities for defects and patterns with high resolution and high throughput for node 7 and beyond. Namely, the goal of the RESCAN project is to develop a tool capable of inspecting an EUV reticle in 7 h and detect mask defects down to a size of 10  nm×10  nm. The lensless imaging concept allows overcoming the resolution limitations due to the numerical aperture and lens aberrations of conventional mask imaging systems. With the increasing availability of computational power and the refinement of iterative phase reconstruction algorithms, lensless imaging became a powerful tool to synthesize the complex amplitude of the reticle image providing us also with extremely valuable information about phase and mask three-dimensional effects. Here, we present a brief description of the current prototype of the RESCAN platform and illustrate a few experimental examples of programmed defect detection.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Correction technique of EBM-6000 prepared for EUV mask writing

Shusuke Yoshitake; Hitoshi Sunaoshi; Jun Yashima; Shuichi Tamamushi; Munehiro Ogasawara

Image placement (IP) errors caused by electro-static chuck (ESC) and non-flatness of mask are additional factors in writing extreme ultra-violet (EUV) mask, and minimizing their influences is being fervently addressed. New correction technique of EBM-6000 has been developed for EUV mask writing based on the conventional grid matching correction (GMC) without ESC to obtain good reproducibility to satisfy users requirement to develop EUV mask at an early stage.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Reduction of fogging effect caused by scattered electrons in an electron beam system

Naoharu Shimomura; Munehiro Ogasawara; Jun Takamatsu; Shusuke Yoshitake; Kenji Ooki; Noriaki Nakayamada; Fumiyuki Okabe; Toru Tojo

Background exposure of a resist caused by scattered electrons (the fogging effect) degrades critical dimension accuracy when the pattern density changes over the specimen. We measured the fogging effect in an electron beam optical column. In order to reduce the fogging effect, a scattered electron absorber plate having a converging holes structure was attached to the lower surface of the objective lens. When the most severe pattern for the fogging effect was applied, we achieved the size variation caused by the fogging effect less than 8 nm. The converging holes effectively trap the scattered electrons and greatly reduce the fogging effect.


Proceedings of SPIE, the International Society for Optical Engineering | 2011

EBM-9000: EB mask writer for product mask fabrication of 16nm half-pitch generation and beyond

Shusuke Yoshitake; Takashi Kamikubo; Noriaki Nakayamada; Kiyoshi Hattori; Hiroyoshi Ando; Tomohiro Iijima; Kenji Ohtoshi; Kenichi Saito; Ryoichi Yoshikawa; Shuichi Tamamushi; Rikio Tomiyoshi; Hitoshi Higurashi; Yoshiaki Hattori; Seiichi Tsuchiya; Masayuki Katoh; Kouichi Suzuki; Yuichi Tachikawa; Munehiro Ogasawara; Victor Katsap; Steven D. Golladay; Rodney A. Kendall

In the half pitch (hp) 16nm generation, the shot count on a mask is expected to become bipolar. The multi-patterning technology in lithography seems to maintain the shot count around 300G shots instead of increase in the number of masks needed for one layer. However, as a result of mask multiplication, the better positional accuracy would be required especially in Mask-to-Mask overlay. On the other hand, in complex OPC, the shot count on a mask is expected to exceed 1T shots. In addition, regardless of the shot count forecast, the resist sensitivity needs to be lower to reduce the shot noise effect so as to get better LER. In other words, slow resist would appear on main stream, in near future. Hence, such trend would result in longer write time than that of the previous generations. At the same time, most mask makers request masks to be written within 24 hours. Thus, a faster mask writer with better writing accuracy than those of previous generations is needed. With this background, a new electron beam mask writing system, EBM- 9000, has been developed to satisfy such requirements of the hp 16nm generation. The development of EBM-9000 has focused on improving throughput for larger shot counts and improving the writing accuracy.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Key improvement Schemes of Accuracies in EB Mask Writing for Double Patterning Lithography

Hitoshi Sunaoshi; Takashi Kamikubo; Rieko Nishimura; Kaoru Tsuruta; Takehiko Katsumata; Takayuki Ohnishi; Hirohito Anze; Jun Takamatsu; Shusuke Yoshitake; Shuichi Tamamushi

Double pattering or exposure methodologies are being adopted to extend 193nm optical lithography. These methodologies require much tighter image-placement accuracy and Critical Dimension (CD) controls on mask than the conventional single exposure technique. Our experiments indicate that the global image placement drift induced by the time elapsed in mask writing is the dominant factor that degrades image-placement accuracy. In-situ grid measurement method is being proposed to suppress this time dependent drift. Resist charging effect is also an important error factor. While it can be reduced by charge dissipation layer (CDL), further feasibility study is required for using CDL to overcome certain side-effects pertaining to CDL. High dose resist improves local CD uniformity and pattern fidelity. However, mask writing time becomes longer with lower sensitivity. To satisfy conflicting issues, throughput and CD uniformity, high sensitivity CAR which has short acid diffusion length is desirable. Shortening acid diffusion length is essential for achieving good pattern resolution as well as good CD uniformity. This paper will address the results of error source analyses and key schemes of accuracy improvements in photo-mask manufacturing using NuFlare Technologys EB mask writers.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Controlling linewidth roughness in step and flash imprint lithography

Gerard M. Schmid; Niyaz Khusnatdinov; Cynthia B. Brooks; Dwayne L. LaBrake; Ecron Thompson; Douglas J. Resnick; Jordan Owens; Arnie Ford; Shiho Sasaki; Nobuhito Toyama; Masaaki Kurihara; Naoya Hayashi; Hideo Kobayashi; Takashi Sato; Osamu Nagarekawa; Mark W. Hart; Kailash Gopalakrishnan; R. S. Shenoy; Ron Jih; Ying Zhang; E. Sikorski; Mary Beth Rothwell; Shusuke Yoshitake; Hitoshi Sunaoshi; Kenichi Yasui

Despite the remarkable progress made in extending optical lithography to deep sub-wavelength imaging, the limit for the technology seems imminent. At 22nm half pitch design rules, neither very high NA tools (NA 1.6), nor techniques such as double patterning are likely to be sufficient. One of the key challenges in patterning features with these dimensions is the ability to minimize feature roughness while maintaining reasonable process throughput. This limitation is particularly challenging for electron and photon based NGL technologies, where fast chemically amplified resists are used to define the patterned images. Control of linewidth roughness (LWR) is critical, since it adversely affects device speed and timing in CMOS circuits. Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. This technology has been shown to be an effective method for replication of nanometer-scale structures from a template (imprint mask). As a high fidelity replication process, the resolution of imprint lithography is determined by the ability to create a master template having the required dimensions. Although the imprint process itself adds no additional linewidth roughness to the patterning process, the burden of minimizing LWR falls to the template fabrication process. Non chemically amplified resists, such as ZEP520A, are not nearly as sensitive but have excellent resolution and can produce features with very low LWR. The purpose of this paper is to characterize LWR for the entire imprint lithography process, from template fabrication to the final patterned substrate. Three experiments were performed documenting LWR in the template, imprint, and after pattern transfer. On average, LWR was extremely low (less than 3nm, 3σ), and independent of the processing step and feature size.

Collaboration


Dive into the Shusuke Yoshitake's collaboration.

Researchain Logo
Decentralizing Knowledge